13.07.2015 Views

March CS 1701 RIE Operations and Maintenance Manual

March CS 1701 RIE Operations and Maintenance Manual

March CS 1701 RIE Operations and Maintenance Manual

SHOW MORE
SHOW LESS
  • No tags were found...

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

TABLE OF CONTENTSINTRODUCTION...............................................................................................4Equipment Options .................................................................................5SAFETY ............................................................................................................7Safety Features ......................................................................................7Warnings <strong>and</strong> Precautions .....................................................................8UNPACKING .....................................................................................................10Long Term Storage.................................................................................10INSTALLATION.................................................................................................11Facilities Requirements ..........................................................................11Recommended Working Area Allowance ...............................................13Hook-up Connections .............................................................................14System Assembly ...................................................................................15Initial Start-up..........................................................................................19EQUIPMENT O<strong>RIE</strong>NTATION............................................................................21General Equipment Description..............................................................21Controls <strong>and</strong> Indicators...........................................................................24THEORY OF OPERATION ...............................................................................32The Plasma Process: An Overview ........................................................32SETUP ..............................................................................................................35Gas Flow.................................................................................................35Independent Pressure Control................................................................35Tuning the RF Matching Network ...........................................................36Endpoint Detection .................................................................................37PROCESS PROGRAMMING ............................................................................38<strong>Manual</strong> Operation ...................................................................................38Automatic Operation...............................................................................40SERVICE AND MAINTENANCE .......................................................................42Warranty .................................................................................................42Servicing .................................................................................................43User <strong>Maintenance</strong>...................................................................................45System Troubleshooting .........................................................................50Tuning <strong>and</strong> Replacement Procedures ....................................................62Consumables..........................................................................................68APPENDIX ........................................................................................................69Process Development- Etching...............................................................69Pump Down Speed.................................................................................71Calculating Gas Flow..............................................................................71Independent Pressure Control................................................................74Avoiding Gas Line Contamination ..........................................................78GLOSSARY.......................................................................................................79NOTES ..............................................................................................................84SCHEMATI<strong>CS</strong>...................................................................................................86MATERIAL SAFETY DATA SHEET3


INTRODUCTIONThis manual is broken down into sections dealing with all issues related to the <strong>CS</strong>-<strong>1701</strong>Reactive Ion Etcher.This includes detailed installation instructions, specifications, <strong>and</strong> a full description ofthe equipment <strong>and</strong> all controls <strong>and</strong> indicators on the equipment components.A safety hazards <strong>and</strong> precautions section points out any risks involved with equipmentoperation along with recommendations for safely operating <strong>and</strong> maintaining the system.The safety features included with the system are also outlined.A section on theory of operation explains the principals behind plasma generation <strong>and</strong>the variables that are under operator control during process development <strong>and</strong>optimization. The goal is to give the beginning plasma process engineer a starting pointfor developing plasma treatments for various applications using the <strong>CS</strong>-<strong>1701</strong>equipment. The user should contact <strong>March</strong> Instruments if more detailed processdevelopment assistance is required for a specific application.Another section gives the step by step details for system operation.The service information section contains the information on warranties, troubleshooting, equipment repair, <strong>and</strong> parts replacement.The appendix lists a detailed explanation of the effect of changing the variables in theplasma process <strong>and</strong> a characterization of some aspects of the system.A glossary defines the terms used in the manual.Textural conventions used in the manual are as follows:In the Installation Instructions section, parts that the installer needs to attach to themain unit are listed in all capital letters. In operating procedures, call-outs for buttonsthe operator is instructed to actuate are listed in all capital letters. References to othersections of the manual are given with section heading title <strong>and</strong> page number. Sectionheadings are in bold print <strong>and</strong> underlined.Nomenclature for data entry in this manual <strong>and</strong> on the system itself uses millitorr (mTorror mT) in reference to pressure, watts (w) for power, <strong>and</strong> seconds (secs) or minutes(mins) for time.This manual can be ordered under <strong>March</strong> Instruments part number 021-1008.4


EQUIPMENT OPTIONSThis is a list of all non-st<strong>and</strong>ard system accessories. Equipment can be upgraded toinclude any of these options. For additional information, contact <strong>March</strong> Instruments.PUMP OIL MIST ELIMINATORCollects <strong>and</strong> condenses the oil mist generated during pump operation. This leads tolower pump oil consumption <strong>and</strong> a cleaner operation.If the system is to be run with a fluorine based process gas, a special mist eliminator isrequired.PUMP OIL FILTRATION UNITPump oil gets dirty during the course of normal operation. Certain plasmaprocesses will cause the oil to accumulate contaminants at an accelerated rate.The pump oil filtration unit is a device that attaches to the vacuum pump <strong>and</strong>keeps the pump oil clean. This cuts down on pump maintenance <strong>and</strong> increasesthe life of the pump.ADDITIONAL MASS FLOW CONTROLLERSThe st<strong>and</strong>ard system is equipped with two Mass Flow Controllers (MFCs). If theoperator requires more than two process gases, up to four additional MFCs can beinstalled as an option.BOTTOM ELECTRODE OPTIONSThe bottom electrode (where the sample is placed) can be supplied in three differentconfigurations depending on the plasma application <strong>and</strong> composition/geometry of thesample to be processed. The chamber gas flow pattern is different for eachconfiguration.The st<strong>and</strong>ard electrode is solid. The other two available options are perforated <strong>and</strong>slotted.REMOTE OPERATOR INTERFACE SOFTWARESoftware is available that allows the <strong>CS</strong>-<strong>1701</strong> to communicate with a host computer viaan RS-232 communication port. This configuration has the following advantages:• Unlimited process recipe storage.• Monitors each process parameter in graph form throughout the course of a processrun.• Logs data on process parameters from each process run, allowing analysis <strong>and</strong>statistical process control.• Remotely controls the <strong>CS</strong>-<strong>1701</strong>.5


TEMPERATURE CONTROLLERA temperature controller is available for regulating the chamber temperature. Thisoptional unit recirculates <strong>and</strong> controls the temperature of the system cooling water inthe range from 0 to 100 C.EIGHT INCH WAFERThe st<strong>and</strong>ard chamber will accommodate up to a six inch wafer. Eight inch wafercapability is available for additional cost.MAGNETSMagnets can be supplied with the system which are used to enhance the plasmadensity, etch rate, <strong>and</strong> etch quality in localized regions of the chamber.GAS CABINETIf corrosive process gases will be used in the system, a leak tight gas cabinet isrecommended for containment <strong>and</strong> control of the gas. A gas cabinet would benecessary for gases such as chlorine <strong>and</strong> boron trichloride.PNEUMATIC LID LIFTThe pneumatic lid lift is optional with the six inch chamber <strong>and</strong> st<strong>and</strong>ard with the eightinch chamber. It makes placement <strong>and</strong> removal of samples from the chamber easier byautomatically lifting the chamber lid.6


SAFETYThis section covers the safety issues associated with the <strong>CS</strong>-<strong>1701</strong>. It describes thesystem safety features. Any inherent equipment hazards are outlined. Details onnecessary precautions for safe operation are provided.Alert boxes containing the words ”NOTE”, “CAUTION”, <strong>and</strong> “WARNING” are used invarious advisories in this manual. “CAUTION” implies that the action could possiblycause damage to equipment or injury to personnel if the proper procedures are notfollowed. Use of the word “WARNING” implies that the action places the operator in asituation that has a possibility of injury or death if the proper procedures are notfollowed. “NOTE” alert boxes are advisories that point out important information that isnot obvious to the reader but will not lead to any hazardous situations or immediateequipment damage if not followed.SAFETY FEATURESThe following is a description of the safety features designed into the system.Schematics are in the back of the manual.MAIN POWERThe system is equipped with a red, front console mounted emergency on/off. Whenactivated, the main power connection to the system is disconnected via a momentaryaction switch that sets the main power relay to the off state.SAFETY INTERLOCKSSeveral safety interlocks are built into the system. The purpose of the interlocks are toplace the equipment in a safe state when a potentially hazardous condition arises.A safety interlock to prevent electrical hazard is built into the systems chassis. If the leftchassis panel is removed, a triggering mechanism cuts the system power connection<strong>and</strong> shuts off the power in the same fashion as the emergency on/off. Once themechanism is triggered, the interlock must be manually reset by either replacing thepanel or pulling the interlock switch out to the fully extended position to defeat it.An interlock prevents the RF power from being activated if the chamber pressure is outof the specified range (above 2000 mTorr). This interlock functions via a pressuregauge sensor that prevents RF power from being turned on until the threshold pressureof 2000 mT is reached. This feature serves as a safety interlock since it precludes thepossibility of activating the RF power when the chamber door is open. It also helps toprevent damage to the equipment since running at high pressures is hard on both thegenerator <strong>and</strong> tuning network.An additional RF interlock is mounted on the chamber lid hinge. This prevents activationof the RF power unless the lid is closed.7


A gas flow interlock prevents gas flow into the chamber until the vacuum switch hasbeen activated. This prevents potentially flammable or toxic gases from flowing whilethe system is at atmospheric pressure.CHAMBERAfter each operation, there is an automatic pump down <strong>and</strong> purge cycle to remove anyresidual process gases from the chamber before venting to atmospheric pressure.RF POWERThe system meets OSHA <strong>and</strong> FCC requirements for RF leakage, <strong>and</strong> operates at anassigned frequency of 13.56 MHz.WARNINGS AND PRECAUTIONSWhen used properly, your <strong>CS</strong>-<strong>1701</strong> plasma system is very safe. The purpose of thisadvisory is simply to point out possible hazards resulting from misuse of the equipment<strong>and</strong> to suggest ways of operating the equipment as safely as possible.ELECTRICALAs with all electrical equipment, caution is warranted whenever any external panels areremoved <strong>and</strong>/or electrical wiring is exposed. Only qualified technicians should performmaintenance, repair or installation on the equipment. Per OSHA 29 CFR 1910.147(Control of Hazardous Energies, Lockout/Tagout), if possible the equipment should bedisconnected from the power source <strong>and</strong> a lockout device attached to the electricaldisconnect before beginning any work. The lockout device prevents reenergization ofthe equipment while the maintenance/repair is occurring.RADIO FREQUENCY (RF) EXPOSUREA potential hazard from RF exposure exists if the system is operated without theexternal cover in place. This is not recommended. This system runs at an RF frequencyof 13.56 Mhz <strong>and</strong> the st<strong>and</strong>ard RF generator runs at a maximum power of 600 watts.CHAMBER TEMPERATUREThe reaction chamber requires cooling water, exact requirements are noted in themanual. Even with this cooling, the temperature of the inside of the reaction chambercan be hot enough to burn. The operator should use gloves or tools to remove treatedsamples from the chamber.CHEMICAL HAZARDSThe fluorinated pump oil (Krytox) is a skin <strong>and</strong> eye irritant. Gloves <strong>and</strong> eye protectionshould be used when changing or adding pump oil.The Material Safety Data Sheet for Krytox is included for reference at the back of themanual.8


PROCESS GASESCertain process gases selected for use with this equipment may be hazardous. Somemay require special precautions. These precautions vary depending on the gas.Consult with your safety officer to ensure proper precautionary steps are taken beforebringing any new gas into your facility. When using any gases considered to be ahazard, we recommend that the system be operated only in a fume (exhausted) cabinetso that if there is any leakage of gas, it will be directed out of the work area. Dependingon the level of hazard <strong>and</strong> the nature of the gas, the exhaust from the fume cabinetmay need to be treated (scrubbed) before being released to the outside. In addition,the cylinder of any gas that is toxic or corrosive should be placed in a suitable gascabinet. Please check with your safety officer to see what regulations <strong>and</strong>specifications are required for the gas cabinet.Take care to insure that gas lines containing toxic or flammable gases do not leak. Gasline integrity can be confirmed simply by opening the valve on the gas cylinder thenquickly closing it again. If the pressure reading on the regulator drops within oneminute, there is a substantial leak that could be dangerous.PUMPThe pump must be if a design that is suitable for use with the process gases. Corrosivegases require a chemical series pump. Oxygen requires the use of a non-hydrocarbonpump fluid (Fomblin or Krytox). The pump exhaust can contain various levels of toxicgases <strong>and</strong> particulates. It is always recommended that the exhaust of the pump beeither filtered, or directed away from the work area. Again, depending on the gasesused, the exhaust of the pump may also have to be scrubbed.PLUMBINGAll tubing <strong>and</strong> connections between process gas supplies <strong>and</strong> the etcher must be madeof corrosion resistant materials. Only stainless steel or Teflon should be used. All gasconnections should be checked for leakage as outlined in the manual.9


UNPACKINGCAUTION: COMPONENTS OF THE <strong>CS</strong>-<strong>1701</strong> <strong>RIE</strong> SYSTEM ARE HEAVY. USEPROPER LIFTING PROCEDURES TO AVOID INJURY TO PERSONNEL.The <strong>CS</strong>-<strong>1701</strong> Plasma System is completely tested <strong>and</strong> inspected at the factory beforeshipping. Inspect all shipping cartons before unpacking. If there is any reason tosuspect damage to the cartons or their contents, make note of the damage <strong>and</strong> report itto the shipping company immediately.Using the included packing list, check to ensure that all listed components have arrivedat your facility. Unpack the shipping cartons carefully <strong>and</strong> inspect the main plasma unit<strong>and</strong> all other system components for any damaged or missing items.If any component is damaged or missing, notify the shipper <strong>and</strong> notify the <strong>March</strong>Instruments Customer Service Department by telephone (925 827 1240) or FAX (925827 1189) immediately. Claims based on late notification of shipping damage will bedenied.Keep all shipping containers <strong>and</strong> materials in case it should be necessary to return anyitem to <strong>March</strong>.Place the system components on the selected work surfaces. Remove all packingmaterials including any that might be present in the chamber of the system.LONG TERM STORAGEIf the plasma system <strong>and</strong> vacuum pump are to be placed in long term storage, take thefollowing precautions in order to keep the equipment in good working condition.All system components should be placed in protective packaging. A desiccant shouldbe placed in the packaging to minimize moisture exposure. Storage should be in a roomwith humidity less than eighty percent.Before packaging <strong>and</strong> storing the vacuum pump, fill the pump reservoir with oil to theproper level <strong>and</strong> run the pump for five minutes to lubricate the seals. During the timethe pump is stored, you will also need to run the pump for five minutes every threemonths in order to keep the seals lubricated.10


INSTALLATIONThe following section outlines the requirements for system installation, recommendedworking area allowance, step by step instructions for assembly, <strong>and</strong> initial startupprocedure. The installer should refer to Safety Warnings <strong>and</strong> Precautions <strong>and</strong>Unpacking sections on pages 8 <strong>and</strong> 10 before beginning installation.FACILITIES REQUIREMENTSThe specifications <strong>and</strong> requirements for the system <strong>and</strong> applicable options are listed inthe following table. To aid in facilitizing the system, see the diagrams on pages 13 <strong>and</strong>14 for the recommended working area allowance <strong>and</strong> equipment hook-up dimensions(st<strong>and</strong>ard 6” chamber). All power cords are supplied by <strong>March</strong> Instruments.ETCHER:Power Supply:..................... Single Phase 110VAC or 220VAC +/-10%(Specified at time of order). 50-60 Hz @ 15Amps. 18 AWG, 3 wire.Process Gases:..................... Regulated to 10-15 PSIG. Connections madeby either .25” O.D. Stainless Steel or Teflontubing (Supplied by purchaser).Purge Gas:........................... Nitrogen or Compressed Dry Air regulated to45 PSIG (Gas, regulators <strong>and</strong> .25” tubingsupplied by purchaser).External Gas Fittings:.......... Swagelok compression fittings, .25” O.D.Cooling................................ Distilled waterRF GENERATOR:RFX-600:Power Supply:..................... Single Phase 110VAC or 220VAC +/-10%. 50-60 Hz @ 15 Amps. 14 AWG, 3 wire.Power Output:..................... 600 Watts +/-2% @ full load output into 50Ohm impedance.Dimensions:........................ 8.5”W x 7”H x 18”L.SEREN R300:Power Supply:..................... Single Phase 100-125VAC. 50-60 Hz, 14AWG, 3 wire.Power Output:..................... 300 Watts full load output into 50 Ohmimpedance.Dimensions:........................ 8”W x 5.25”H x 16”D.MPS 300:Power Supply:..................... Single Phase 110VAC or 220VAC +/-10%. 50-60 Hz @ 15 Amps. 14 AWG, 3 wire.Power Output:..................... 300 Watts +/- 5% @ full load output into 50Ohm impedance.Dimensions:........................8.5”W x 7”H x 18”L.11


VACUUM PUMPCapacity:.............................2063 50 CFM or 2033CP 27 CFM (27 CFMminimum recommended).Power Supply:..................... 2063CP - Three Phase 220VAC +/-10% @ 50-60 Hz <strong>and</strong> 10 Amps. 14 AWG, 4 wire.2033CP - Single Phase 110VAC or 220VAC+/-10% @ 50-60 Hz <strong>and</strong> 15 Amps. 14 AWG, 3wire.Lubricant:...........................Exhaust:.............................Dimensions:.......................Charged with either Perfluorinated Krytox orFomblin oil.NW-40 connection (1.5” exhaust tubingsupplied by purchaser).2063CP - 32” L x 18”H x 10”W.2033CP - 30” L x 14”H x 8”W.Optional EquipmentPUMP OIL FILTRATION UNIT:Power Supply:..................... Single Phase 110VAC or 220VAC +/-10%. 50-60 Hz @ 8 Amps. 14 AWG, 3 wire.Dimensions:........................ 13”W x 14.5”H x 17.5”L.CHILLER:Power Supply:..................... Single Phase 110VAC* +/-10%. 50-60 Hz @15Amps. 14 AWG, 3 wire.Output:............................... 2 GPM @ 6 PSI.Connections:....................... Swagelok fittings, .25” O.D. (Either .25”Stainless Steel or Teflon tubing supplied bypurchaser).Dimensions:........................Temperature:......................25.5”W x 12”H x 25”L.50-60 Degrees F. Distilled water only.CONTACT ANGLE MEASURING SYSTEM:Power Supply:..................... Single Phase 110VAC or 220VAC +/-10%. 50-Dimensions:........................60 Hz @ 2 Amps. 3 wire, 14 AWG.*11”W x 16”H x 22.5”L.NOTE: ALL CONNECTIONS FOR PROCESS GAS BETWEEN GAS BOTTLES AND<strong>CS</strong>-<strong>1701</strong> MUST BE MADE USING CORROSION RESISTANT MATERIALS SUCH ASTEFLON OR STAINLESS STEEL. OTHER MATERIALS CAN CORRODEGENERATING PARTICULATE MATTER WHICH WILL CLOG GAS SHUTOFFVALVES AND MASS FLOW CONTROLLERS.* 220VAC with external transformer supplied with system.12


RECOMMENDED WORKING AREA ALLOWANCEThe following diagram outlines the minimum recommended working space recommendedfor the <strong>CS</strong>-<strong>1701</strong> system.VACUUM VALVERF GENERATORTOP VIEWDepth=24 in./61 cmFRONT OF ETCHERWidth=36 in./91.5 cm13


HOOK-UP DIMENSIONS FOR GAS, WATER, AND VACUUM (6”AND 8” CHAMBER)( C a p p e d o fu s i n g h i g hg a s )


SYSTEM ASSEMBLYTo facilitize the system, please complete the following steps in the order listed whilereferring to the assembly diagram on page 17. Also, refer to Figure 2 (page 18) ifinstalling a system with no pneumatic lift lid; refer to Figure 3 (page 18) if the systemhas a pneumatic lift lid.CAUTION: HAZARDOUS VOLTAGES AND CURRENTS ARE FOUND WITHIN THEENCLOSURE OF THIS EQUIPMENT. EXERCISE ALL POSSIBLE PRECAUTIONSTO AVOID INJURY TO PERSONNEL OR EQUIPMENT. ONLY QUALIFIEDTECHNICIANS SHOULD PERFORM THE INSTALLATION OF THIS EQUIPMENT.1. Connect the vacuum pump to the vacuum port (six inch chamber) or vacuum valve(eight inch chamber) located on the back of the etcher using the providedconnectors <strong>and</strong> tubing. To ensure the most rapid pump-down times, position thepump as closely as possible to etcher but not on same surface.2. Connect the nitrogen/dry air gas source to the port on the rear of the systemlabeled "NITROGEN”. If the system has a pneumatic lift lid, connect thenitrogen/dry air source to the port on the rear of the system labeled “N 2 IN” as well(this will require a “T” connection on the nitrogen/dry air input line).3. Connect the desired process gases to the gas inlets on the system rear panellabeled "GAS 1”, "GAS 2”, etc., using stainless steel or Teflon tubing. Ensure thatthey are properly regulated (10-15 psi).4. Connect the port on the system rear panel labeled "PRESSURE CONTROL" to theINDEPENDENT PRESSURE CONTROL FITTING on the vacuum flange using theprovided 1/4" tubing <strong>and</strong> fittings.5. Connect the compression fitting labeled "GAS OUT" on the rear of the system tothe PROCESS GAS INLET atop the Reactor Chamber using provided 1/4" tubing.6. Attach the connector on the Reactor Chamber module labeled “END PT. DET.” or“END POINT” to the Endpoint Detector port on the side of the chamber using theprovided cable.7. Remove the generator from it’s box. If using a Seren br<strong>and</strong> generator, remove theshipping brackets from the sides of the generator by removing each bracket screwas shown in the Figure 1 on the next page. Save the generator box <strong>and</strong> brackets incase the generator needs to be shipped back to <strong>March</strong>.8. Connect the RG8 cable between the ‘N’ connector labeled "OUTPUT" or “RF OUT”on the RF Power Generator <strong>and</strong> the ‘N’ connector labeled "RF IN" on the systemrear panel.9. Connect the generator control cable between the D type connector labeled "RFGEN" on the system rear panel <strong>and</strong> the D type connector labeled "USER" or“ANALOG INTERFACE” on the RF Power Generator.15


SHIPPINGBRACKETBRACKETSCREWSIDE VIEW OF SEREN GENERATOR FIGURE 1.10. If the system has an eight inch chamber, connect the compression fitting labeled“VAC. VALVE” on the rear of the system to the vacuum valve fitting (see Figure 3,previous page) using the tubing provided.11. If the system has an eight inch chamber, connect the compression fitting labeled“PRESS.” on the rear of the system to the pressure fitting beneath the chamber(see Figure 3) using the tubing provided.12. If the system has a pneumatic lift lid, connect the compression fitting labeled “LID”on the rear of the system to the pneumatic lid fittings on the cylinders at either sideof the chamber (see Figure 3) using the “T” connection <strong>and</strong> tubing provided.13. Connect the supplied grounding strap from the RF Generator to the GROUNDINGSCREW on rear of the etcher.14. If a host computer is to be used for downloading process parameters or controllingthe operation of the etcher, connect a serial communication line to the D typeRS232 connector labeled "RS-232" on the back of the etcher.15. If a gas cabinet was purchased with the system (for running toxic gases), connectthe data bus cable between the D type connector labeled "EXT. GAS" on thesystem rear panel <strong>and</strong> the D type connector on the process gas box.16. Connect the two COOLING WATER FITTINGS on the rear of the chamberpedestal to a chilled water source. Connect one line to water cooling return <strong>and</strong> theother to water cooling supply.NOTE: SUPPLYING CHILLED WATER IS MANDATORY TO PREVENT DAMAGE TOTHE ETCHER. USE DISTILLED WATER ONLY. TAP OR DEIONIZED WATER MAYCAUSE CORROSION OR BUILD-UP IN THE CIRCULATION LINES.17. Connect RF Power Generator power cord to an electrical source.18. Connect the system power cord to the POWER CORD SOCKET on the systemrear panel. Connect the other end of the power cord to a voltage source.19. After ensuring the exhaust line is connected properly, connect the vacuum pumppower cord to a voltage source. Pump exhaust should have an exhaust line thatvents outside of the facility. Some of the more hazardous gases may require theuse of an exhaust scrubber.16


Assembly Diagram- St<strong>and</strong>ard 6” <strong>and</strong> 8” System5 166MARCHModel13InstrumentsIncorporatedConcord, CASerial No.PowerGAS 1 GAS 2 GAS 3 GAS 4GAS OU T E ND PT . DE T .PRESSURECONT R OLNIT ROGE N4RF GENEXT. GASRS -2321A S BRF IN3 329181 813Process Gases Nitrogen or(15 psi min, Dry Air20 psi max) (50 psi min,80 psi max)Rear of RFX-600 GeneratorVacuum Pump17


Process Gas InletCooling Water Fittings (Interchangeable)Grounding ScrewMARCHInstrumenIncorporatConcordMod SerialPowGAS 1 GAS 2 GAS 3 GAS 4GAS OU TPRESSURECONT R OLNIT R OGE NE ND PT . DE T .RF GENEXT. GASRS -2321A S BRFINPower Cord SocketVacuum Port/ValveIndependent Pressure Control FittingREAR VIEW OF <strong>CS</strong>-<strong>1701</strong> SYSTEM WITHOUT PNEUMATIC LIFT DOOR. FIGURE 2.Process Gas InletPressure FittingVacuum Valve FittingPneumatic Lid FittingI.P.C. Fitting(behind vacuum valve)MARCHModInstrumenIncorporatConcord,SerialPowePRESSVAC. VALVEGAS OUTPRESSURECONT R OLGAS 1 GAS 2 GAS 3 GAS 4 NIT ROGE NToPumpVacuum ValveRF INLIDN2 INEND POINT Grounding S crew1A S BRF GENEXT. GASRS-232Chilled Water Input <strong>and</strong> Output Ports (Interchangeable)Power Cord SocketREAR VIEW OF <strong>CS</strong>-<strong>1701</strong> SYSTEM WITH PNEUMATIC LIFT DOOR FIGURE 3.18


INITIAL STARTUP PROCEDUREAfter the machine has been properly installed, you can begin operation by following thesteps detailed below:1. Make sure that all fittings are tightened securely.2. Turn on the front panel POWER switch. Check that the front panel switches <strong>and</strong>displays light up.3. Close the reactor chamber lid.4. After ensuring that RF Generator front panel switches are set to OFF position, turnon AC switch or circuit breaker on the back side of the RF Generator5. Turn on RF Power Generator by pressing the POWER (RFX-600 generator) orON/OFF (R300 generator) button, or press the front panel rocker switch (R600generator).6. If using an RFX-600, enable Remote Control <strong>and</strong> Remote Signal functions bytoggling those buttons on the generator. If using an R300, place the LOCAL/REMswitch to the REM position. If using an R600, no switch setting adjustments arerequired. The generator is now set up for remote operation through the plasmasystem.7. Leak check gas lines by opening the valve on the gas cylinder then quickly closingit again. If the pressure reading on the regulator drops within one minute, there is asubstantial leak in the line.CAUTION: CERTAIN PROCESS GASES SELECTED FOR USE WITH THISEQUIPMENT MAY BE HAZARDOUS. CONSULT WITH YOUR FACILITIES SAFETYOFFICER TO ENSURE PROPER PRECAUTIONARY STEPS ARE TAKEN BEFORETHESE GASES ARE CONNECTED OR USED.8. Turn on process <strong>and</strong> purge gases at their sources <strong>and</strong> adjust the regulators to thespecified gas pressure (see Facilities Requirements).9. Perform the vacuum check described below.Vacuum CheckWhen first starting a vacuum system it is advisable to check the vacuum integrity of allcomponents <strong>and</strong> connections. To do so:1. Depress the MAN OP switch on the Process Control Panel to select manual mode.2. Depress the VAC ON switch on the Process Control Panel to commence pumpingdown the chamber. Start timer.3. After 15 seconds depress the PRESS switch on the Process Control Panel toenable monitoring of chamber pressure. After another 15 seconds (30 secondstotal), record the pressure shown on the left display of the Process Control Panel(LDISP button on the Process Control Panel must be toggled to “Pressure”).4. Record the time required to reach a pressure of 100 mTorr. The pressure shouldfall below 100 mTorr less than 5 minutes after pressing the VAC ON switch.5. Wait 20 minutes to allow any residual moisture to exit the system <strong>and</strong> record theultimate pressure shown on the display.6. Depress the VAC ON button. The vacuum valve is now closed <strong>and</strong> the chamber isisolated from the pump. If the system is not vacuum-tight, the pressure will slowlyrise in proportion to the size of the leak.7. Record the leak rate in mTorr/minute. The system pressure should not rise morethan 50 mTorr/minute.19


Save the vacuum check data for future reference <strong>and</strong> comparison purposes. Thissame procedure can be run periodically in order to recheck the vacuum integrity. Theform on the following page is included for this purpose.DATE:VACUUM INTEGRITY CHECKLISTPRESSUREAFTER 30SECONDS(mTorr):TIME TO 100MTORR:PRESSUREAFTER 20MINUTES(mTorr):CHAMBERLEAK RATE(mTorr/Min):20


EQUIPMENT O<strong>RIE</strong>NTATIONThis section includes a general description of the overall plasma system as well as amore detailed description of the controls <strong>and</strong> indicators on the RF Generator <strong>and</strong> MainSystem.GENERAL EQUIPMENT DESCRIPTIONThe <strong>CS</strong>-<strong>1701</strong> Reactive Ion Etcher consists of two modules: a ReactionChamber/Process Controller <strong>and</strong> a solid state RF Power Generator.Designed for maximum performance <strong>and</strong> flexibility, <strong>March</strong> Instrument's <strong>CS</strong>-<strong>1701</strong>Reactive Ion Etching systems are tabletop etchers used primarily in decapsulating,resist stripping, etching, <strong>and</strong> cleaning applications.PROCESS CONTROLLERThe Process Controller monitors <strong>and</strong>regulates the variable parameters ofthe plasma process, includingchamber pressure, RF power level,process duration, <strong>and</strong> gas flow rates.The Process Controller can house sixMass Flow Controllers (MFCs); twoare st<strong>and</strong>ard, four additional MFCsare available as an option. Thesystem is equipped with a Baratron pressure gauge (capacitance manometer) formaximum accuracy.The unit can be operated in either an automatic or manual mode. In automatic modebuilt-in sequencing will:21


• Vacuum down the chamber to the preset base pressure level.• Turn on the selected gases to the preset flow rate.• Turn on the RF power to the preset level when the gas flow has stabilized.• Maintain these parameters until preset processing time has elapsed.At the end of the initial process, the controller will automatically switch to additionalprocess steps (up to eight for a total of nine altogether) if so programmed by theoperator. Upon completion of the final process step, the Process Controller will performa complete evacuation of process gases by pumping down to a preset base pressure.When the base pressure is achieved, the chamber will vent to atmospheric pressure.<strong>Manual</strong> mode provides the same control over process parameters but requires thateach sequence step be initiated by the operator.Process Controller Elements• Two or (optional six) Mass Flow Controllers• Baratron (capacitance manometer) pressure gauge• Microprocessor automated control with manual override• Unlimited process recipe storage (using PC <strong>and</strong> optional Process Downloadsoftware)• Host Computer process initiation, monitoring <strong>and</strong> termination (optional)• Independent Pressure Control• Process Endpoint DetectionREACTION CHAMBERThe top-loading chamber is designed to ensureanisotropic etching <strong>and</strong> maximize selectivity,uniformity, <strong>and</strong> speed. The chamber is a two piececlam-shell type <strong>and</strong> the material to be etched isplaced on a four inch (or optional six inch) poweredelectrode mounted in the center of the base of thechamber. The size ratio of the small poweredelectrode to the larger ground electrode produces a negative DC bias which enhancesion bombardment <strong>and</strong> anisotropy. A ceramic ring focuses the plasma on the bottomelectrode, thus optimizing power utilization. The bottom electrode is water cooled tomaintain the sample at a low temperature during processing. The chamber is equippedwith a circular quartz viewing window for observation of the plasma process. Theprimary chamber material is anodized aluminum; other components are manufacturedfrom ceramic <strong>and</strong> quartz. No plastic components are used in the construction of thechamber.22


RADIO FREQUENCY POWER GENERATOR AND MATCHING NETWORKThe <strong>CS</strong>-<strong>1701</strong> system is equipped with a solid state RF (Radio Frequency) generatorwith a fixed frequency of 13.56 MHz. Impedance matching is achieved through theemployment of an Inductive-Capacitive tuning network. The tuning network functions byadjusting the forward to reflected power ratio during processing in order to achieve thebest power transfer to the plasma. The forward power is the power being output fromthe generator. Reflected power is the portion of the forward power that is reflected backtowards the generator. Reflected power is undesirable in that it is lost from the plasma.When the tuning network is operated manually, reflected power must be continuouslymonitored in order to keep it at a minimum.In auto mode, the tuning is automated for h<strong>and</strong>s-off operation <strong>and</strong> convenience.In either mode, the generator power level is remotely controlled <strong>and</strong> monitored by theProcess Controller.<strong>CS</strong>-<strong>1701</strong> SPECIFICATIONSThe following are the specifications for the <strong>CS</strong>-<strong>1701</strong> system:Exterior Dimensions• 20” (51 cm) W x 12” (30.5 cm) H* x 19” (48.5 cm) D.* System is 15” high with the pneumatic lift lid.Weight• 75 lbs. (34 Kg), not including RF Power Generator.Chamber Material• Hard-anodized Aluminum.Chamber Interior Dimensions• Six Inch System- 6” (15 cm) diameter powered electrode x 1” (2.5 cm) spacingbetween electrodes. Can accommodate up to a 6” wafer.• Eight Inch System- 8” (20.5 cm) diameter powered electrode x 1” (2.5 cm) spacingbetween electrodes. Can accommodate up to a 8” wafer.Installation Working Surface• Designed for use on table top or counter.• Optional work st<strong>and</strong>s available.RF Power Generator• 0-300 or 0-600 watt RF Power Generator.• 13.56 MHz operating frequency.• Digital forward <strong>and</strong> reflected power display.• Automatic or <strong>Manual</strong> impedance matching.• Remote controlled.23


CONTROLS AND INDICATORSThis section describes the controls <strong>and</strong> indicators on the <strong>CS</strong>-<strong>1701</strong> system components.PROCESS CONTROLLER/CHAMBERThe following is a description of the controls <strong>and</strong> indicators on the <strong>CS</strong>-<strong>1701</strong> ProcessController/Chamber module. Each control <strong>and</strong> indicator is numbered on Figure 4 (page25).Powering Up <strong>and</strong> Powering Down System1. POWER. Removes all power from the unit. This is a momentary action switchwhich sets the main power relay to the off state. Serves as an emergency stop.Chamber Access <strong>and</strong> Plasma Observation2. Upper Chamber Lid H<strong>and</strong>le. When the chamber is at atmospheric pressure, openthe chamber by grasping this h<strong>and</strong>le <strong>and</strong> lifting. When the chamber lid is fully upright, itsettles into slots which keep it open. To close the chamber, grasp the h<strong>and</strong>le <strong>and</strong> lift thelid approximately .5 inch to elevate it out of the slots, then lower it to pivot it back intothe closed position.3. Plasma Viewport. Quartz window which allows observation of the Plasma Process.Process Parameter Selection <strong>and</strong> Monitoring4. L DISP. Toggles the left display (see #9) through analog read outs of pressure, RFpower, etch time, temperature, base pressure/reflected power, <strong>and</strong> endpoint. Theilluminated LEDs to the right of the legends PRESS, POWER, ENDPT, TIME, TEMP,<strong>and</strong> BP/RP indicate which specific parameter is being displayed. Blinking read outindicates that the system was unable to achieve the setpoint (see Troubleshooting).5. R DISP. Toggles the RIGHT DISPLAY (see #10) through analog read outs of gaschannel percent set points <strong>and</strong> flow rates (0 to 100%). The specific gas channelbeing displayed is indicated by the LED to the right of the legends GAS 1, GAS 2,GAS 3, GAS 4, GAS 5, <strong>and</strong> GAS 6. After toggling through each individual gassetting, a final position does not display set <strong>and</strong> read digits but displays all gaschannels that have a setpoint greater than 0. Blinking read out indicates that thesystem was unable to achieve the setpoint (see Troubleshooting).NOTE: ALTHOUGH THE DISPLAY CAN PROVIDE READINGS FOR AS MANY AS 6GASES, ONLY THOSE GAS CHANNELS ACTUALLY CONNECTED WITH MASSFLOW CONTROLLERS (MF<strong>CS</strong>) CAN BE MONITORED OR VA<strong>RIE</strong>D. IF THE UNITHAS ONLY TWO MF<strong>CS</strong>, ONLY THE FIRST TWO GAS CHANNELS SHOULD BEPROGRAMMED OR MONITORED. ALL OTHERS SHOULD BE SET TO, AND LEFTAT A VALUE OF ZERO.6. SET/READ. Two switches, one for the parameters displayed on the LEFTDISPLAY (#9), the other for the parameters displayed on the RIGHT DISPLAY(#10). Toggles the display parameters between the SET <strong>and</strong> READ modes.24


In the SET mode (indicated by the switches LED being illuminated) the processparameter shown in the display is the analog set point programmed by the operator intothe Process Controller software.In the READ mode (LED not illuminated), the value displayed is the monitored analogoutput (the actual value at that given moment) reported to the Process Controller by thespecific controlling device (pressure gauge, mass flow controller, etc.)23910MARCH <strong>CS</strong>-<strong>1701</strong>2314 1546 561181278 71212213 16 17 18 1920FIGURE 4.- System Controls <strong>and</strong> Indicators7,8. DECR/INCR. Two sets of two switches, one set for parameters displayed on theLEFT DISPLAY, the other for parameters displayed on the RIGHT DISPLAY. TheDECR <strong>and</strong> INCR momentary switches are used to vary the value of the analog set pointthe Process Controller sends to the appropriate controller. By depressing theappropriate switch the controller will increase or decrease the set value on the displaylogrithmically (first by altering the value of the ones column until a value of ten isreached, then the tens column until one hundred is reached, then the hundredscolumn).25


9. LEFT DISPLAY. An LED that displays the selected parameter's numerical set orread value. Parameters displayed are PRESS, POWER, ENDPT, TIME, TEMP <strong>and</strong>BP/RP.NOTE: BP/RF (BASE PRESSURE/REVERSE POWER) DIFFERS FROM OTHER LDISP INDICATORS IN THAT IT DISPLAYS A SET POINT FOR ONE PARAMETERAND A READ POINT FOR A DIFFERENT PARAMETER INSTEAD OF A SET VALUEAND A MONITORED VALUE FOR THE SAME PARAMETER. SPECIFICALLY, WITHTHE SET/READ LED ILLUMINATED, THE PROCESS BASE PRESSURE SETTING ISREPORTED ON THE LEFT DISPLAY. WITH THE SET/READ SWITCH LEDEXTINGUISHED, THE REFLECTED POWER VALUE FROM THE RF GENERATOR ISREPORTED ON THE LEFT DISPLAY.10. RIGHT DISPLAY. An LED that displays the selected gas channels numerical setor read value. Depending on the number of mass flow controllers installed, the displaycan give gas values for GAS1 up to GAS6.Program Selection11. PROGRAM. It is possible to store 9 program recipes in the Process Controller.This button toggles to the desired program, numbers 1-9. The selected programnumber is displayed to the right of the PROGRAM button on the PROGRAM DISPLAY.12. PROGRAM DISPLAY. An LED display that indicates the selected program. In thecase of multiple step programs being run sequentially through the use of the automaticoperation mode, the display will indicate the specific step being run at that moment.Operational Mode Selection13. MAN OP. Toggles the machine between automatic <strong>and</strong> manual operating modes.If the LED on the switch is lit, this indicates that the <strong>Manual</strong> Mode of operation has beenselected. This button can be pressed at any time during processing. If in the automaticmode, it overrides the programmed process <strong>and</strong> turns control of the unit over to theoperator by activating the manual control switches.Automatic Mode Process Initiation <strong>and</strong> Termination14. START. Starts Automatic mode operation of the system. The Process Controllerwill run those process parameters defined for the program number displayed on thePROGRAM DISPLAY. Plasma generation steps will sequence automatically until thatprogram is completed. The Process Controller then reads the process parametersstored in the next program before continuing, to determine if additional process stepsare defined in subsequent programs. Process steps can be sequentially or individuallyinitiated by defining the process time in each subsequent program step. Example:• Upon completion of Program 1, the Controller reads the TIME value defined inProgram 2.• If the value is zero the system stops at Program 2.• If the value is greater than zero, the Process Controller loads the processparameters defined for Program 2 <strong>and</strong> initiates this plasma cycle.26


• This will continue until the Process Controller finds a subsequent programnumber/process step with a zero TIME value, at which point it will cease furtherprocessing, vent to atmospheric pressure, <strong>and</strong> remain at the process stepcontaining the zero TIME value. The operator then presses the STOP button toreset the Process Controller to Program 1. Press the START button to rerun theprocess beginning with Program 1.15. STOP. Used to terminate an uncompleted process when in either <strong>Manual</strong> orAutomatic mode. Depressing this switch terminates processing by stopping all gasflow, turning off the RF Generator, <strong>and</strong> isolating the chamber from the vacuum pump.The system is reset to a ready state <strong>and</strong> with Program 1 selected. Pressing the STARTbutton at this point will begin the process anew.<strong>Manual</strong> Operation Control16. VAC ON. Opens the main vacuum valve to the vacuum pump, allowing thechamber to be evacuated. Toggles the vacuum on <strong>and</strong> off as it is depressed.17. PRESS. Opens the isolation valve which protects the Baratron pressuretransducer from atmospheric pressure. This switch must be activated (LED lit) to readthe true chamber pressure. Toggles the true pressure display on <strong>and</strong> off as it isdepressed.18. GAS ON. Opens the Mass Flow Controller valves for all gases which have setvalues greater than zero in the program being run. Introduces the process gases intothe chamber. Toggles the gas flow on <strong>and</strong> off as it is depressed.19. RF ON. Sends the RF ON signal to the RF Power Generator <strong>and</strong> allows thegenerator to apply power to the reactor chamber. Toggles the RF power on <strong>and</strong> off as itis depressed.20. BLEED. This switch opens a valve which introduces nitrogen or dry air to thereactor for 120 sec. This bleeds the chamber to atmospheric pressure. Any time aprocess is aborted or a manual process is stopped, the machine must first pump downbelow the Base Pressure setting indicated on the left display BP position before it canpurge to the atmosphere. In these instances, when the BLEED switch is depressed,the LED will flash <strong>and</strong> the system's vacuum will turn on. The chamber will pump downto base pressure. Once the base pressure setpoint has been achieved, the vacuum willturn off <strong>and</strong> the nitrogen purge activates, returning the chamber's pressure toatmosphere. In <strong>Manual</strong> mode if a process is stopped before the GAS ON switch hasbeen depressed or if the chamber is already evacuated to the base pressure,depressing the BLEED switch activates the compressed gas purge for a period of 120seconds.27


Tuning Mode Selection <strong>and</strong> Accomplishment21. AUTO/MAN. Selection of <strong>Manual</strong> (user controlled) or Automatic (computercontrolled) Tuning Mode is accomplished by placing the switch in the AUTO or MANposition.22. C1 & C2. If the <strong>Manual</strong> tuning mode has been selected, toggling of these switchescontrols the positioning of the air capacitors of the impedance matching network.23. DOOR. This push button is included only on systems with the pneumatic lift lid. Itopens <strong>and</strong> closes the lid.GENERATOR CONTROLS AND INDICATORSA description of the controls <strong>and</strong> indicators on the Advanced Energy RFX-600 <strong>and</strong>Seren R300 are listed on the following three pages.28


ADVANCED ENERGY RFX-600: FRONT PANELFWD PWR1513REFL PWR18TRUE PWR16MAX PWR19DC BIAS1712 14ANGLE20OUTPUT DISPLAY POWER LIMIT POWER LEVEL6 7 8 9 10 111 2 3 4 5CONTROL REGULATION REMOTES1. POWER - Applies power to internal circuitry. LED off = power off, LED on = power on.2. FWD PWR/LOAD PWR - Provides Forward Power regulation control.3. DC BIAS/LOAD PWR - Provides DC Bias regulation control. Hole above switch is to adjust scalefrom 0-1000 volts. Pressing both switches #2 <strong>and</strong> #3 to light LEDs will cause the load to regulatepower.4. REMOTE CONTROL - When depressed allows the generator RF to be turned on <strong>and</strong> off remotelythrough the 25 pin connector on back.5. REMOTE SIGNAL - When depressed allows the power output level to be controlled remotelythrough the 25 pin connector. With microprocessor, PCM REMOTE SIGNAL can be used.6. RF OFF - Turns off RF when generator is not in REMOTE CONTROL operation.7. RF ON - Turns on RF when generator is not in REMOTE CONTROL operation.8. LEFT DISPLAY - Controls what is displayed on LEFT DISPLAY. Each time switch is pushed it willcycle through FRWD PWR, (15); LOAD PWR, (16); <strong>and</strong> DC BIAS, (17).9. RIGHT DISPLAY - Controls what is displayed on RIGHT DISPLAY. Each time switch is pushed itwill cycle through REFL PWR, (18); MAX PWR, (19); <strong>and</strong> PHASE ANGLE, (20).10. SETPOINT - When depressed will allow power output level to be set when not in REMOTESIGNAL operation. The level will be displayed on the LEFT DISPLAY. Hole above switch will setmaximum power out of the generator.11. POWER LEVEL - Controls power output of the generator when not in REMOTE SIGNAL operation.12. LEFT DISPLAY13. REFLECTED POWER BAR - Displays reflected power with bar graph LEDs.14. RIGHT DISPLAY29


ADVANCED ENERGY RFX-600: BACK VIEW2113458761. FAN2. CIRCUIT BREAKER - Turns fan on <strong>and</strong> allows power to front panel power switch.3. DIAGNOSTI<strong>CS</strong> CONNECTION - 15 pin ‘D’ connector used for factory servicing.4. USER CONNECTION - 25 pin ‘D’ connector used for remote control of thegenerator. The blank connector that is supplied with the generator can be used toisolate RF problems between the generator <strong>and</strong> <strong>March</strong> equipment.5. CEX IN - 13.56 MHz signal from master generator. Used when running dualgenerators.6. CEX OUT - 13.56 MHz signal to slave generator. Used when running dualgenerators to keep them in phase.7. RF OUT - 0-600 Watt @ 50 ohm impedance output to <strong>March</strong> equipment.8. POWER LINE CORD - 110 VAC or 220 VAC +/-10%30


SEREN R300: FRONT VIEWFWD/SET1REFL2R300 13.56SEREN IPSON LOCA FWD RFON3 4 5 6OFF REM SET RFOFF7LEVEL1. FWD/SET Display - Displays forward power output or forward power set point in therange of 0-300 watts2. REFL - Displays reflected power level in the range of 0-300 watts.3. ON/OFF - Main power switch.4. LOCAL/REM - When switch is placed in LOCAL position, RF power output level iscontrolled by the LEVEL knob. When in the REM position, power output is controlledremotely through the plasma system (microprocessor models only).5. FWD/SET Switch - When in FWD position, forward power is shown on theFWD/SET Display. When in the SET position, forward power set point is shown onthe FWD/SET Display.6. RFON/RFOFF - Switch that turns the RF power on <strong>and</strong> off when in LOCALoperation.7. LEVEL - Controls the RF power level when in LOCAL operation.31


THEORY OF OPERATIONThis chapter gives an overview of plasma <strong>and</strong> plasma processes. It outlines the basicrequirements to create a plasma <strong>and</strong> what variables are under operator control.THE PLASMA PROCESS: AN OVERVIEWA gas plasma consists of a collection of ions, free radicals, <strong>and</strong> electrons producedwhen a gas is transformed to a high energy, excited state by exposure to an energysource under the right physical conditions. Natural plasma examples include lightning,fire, <strong>and</strong> the Aurora.Plasma treatment is a process by which the surface of a material is modified in someway through the actions of the dissociated molecular components of a gas. Becausethese components are in such a high energy state, they are very chemically reactive<strong>and</strong> can easily affect changes to the surface of materials. The changes that occur arecomplex <strong>and</strong> dependent on many variables including gas chemistry, process pressure,<strong>and</strong> the surface chemistry of the material being processed. A key advantage to plasmatreatment is that only the surface (first several molecular layers) of the material isaltered; the characteristics of the bulk material remain the same.In etching <strong>and</strong> cleaning processes, unwanted material is removed from the surface ofthe substrate using a relatively high energy plasma. The process breaks thecontaminant molecules into smaller pieces which volatilise <strong>and</strong> are then swept out ofthe chamber by the vacuum pump.Surface activation processes work by altering the first several molecular layers of thebulk material through incorporation of chemical functional groups that increase thesurface energy of the material. This leads to improvements in the adhesion <strong>and</strong>wettability of the treated material.32


BASIC ELEMENTS OF PLASMA TREATMENTTo plasma treat a sample in the <strong>CS</strong>-<strong>1701</strong>, the basic steps are:1. Place the material to be treated into the chamber.2. Seal the vacuum chamber by closing the lid.3. Pump the vacuum chamber down to a low, preset pressure level.4. Introduce a process gas or gases into the chamber.5. Apply RF energy to the low pressure gas in the chamber to light the plasma.To end the process:1. Stop applying RF energy to the chamber.2. Stop the flow of process gases.3. Bleed the chamber back to atmospheric pressure.4. Open the vacuum chamber.5. Remove the treated material from the chamber.CAUTION: THE CHAMBER CAN BECOME VERY HOT DURING SOMEPROCESSES. EXERCISE CAUTION TO PREVENT BURNS.These steps are flow charted on the next page.In order to develop <strong>and</strong> optimize a plasma process for a given material, the operatorhas the ability to alter the following parameters:• Process gas(es) selected for use.• Flow rate/pressure of selected gas(es).• Amount of RF energy applied to the vacuum chamber.• Amount of time material is exposed to the plasma.• Vacuum chamber threshold pressure (the pressure setting that must be achievedbefore the process can start).Process pressure, RF power, <strong>and</strong> treatment time are the primary factors that affect theintensity of the treatment. For example, a high energy treatment would be run underconditions of relatively low pressure, high power, <strong>and</strong> long treatment time. Conversely,a low energy treatment would be run under conditions of relatively high pressure, lowpower, <strong>and</strong> a short treatment time.Since every material has different treatment requirements <strong>and</strong> many factors need to betaken into account, it is difficult to say what type of treatment will give the desiredresults. A general rule would be that energetic processes are better for cleaning <strong>and</strong>etching applications; more moderate processes are better for surface activationapplications.33


Chamber Loading Set Controls Initiate Process Evacuation of ChamberIntroduce Process Gases into ChamberApply RF Plasma Discharge Starts TreatmentTurn Off RF Plasma Discharge Stops Turn Off Process Gases Purge ChamberBleed Chamber To Atmospheric PressureChamber UnloadingPlasma Etcher Operational Sequence34


SETUPThis section describes the difference between gas setting <strong>and</strong> gas flow. It alsoillustrates the proper use Independent Pressure Control, the RF tuning network, <strong>and</strong>Optical Endpoint Detection.GAS FLOWThe system is equipped with two (or an optional six) Mass Flow Controllers (MFCs).Each gas channel on the Process Controller has the capacity to regulate <strong>and</strong> monitorthe flow of up to 250 SCCM of nitrogen depending on the size of MFCs supplied withthe unit. The st<strong>and</strong>ard configuration places 100 sccm MFCs on GAS 1 <strong>and</strong> GAS 3, <strong>and</strong>a 250 sccm MFC on GAS 2. The Process Controller's RIGHT DISPLAY indicates thedegree, in percent, that the MFCs orifice is open for gases to pass through. If gasesother than nitrogen are to be used, it is necessary to apply a conversion factor to thedisplayed value if you wish to calculate the actual flow of that gas. See Appendix, page71 for conversion calculation <strong>and</strong> conversion factor chart.INDEPENDENT PRESSURE CONTROLThe <strong>CS</strong>-<strong>1701</strong> Plasma System is equipped with Independent Pressure Control. Thisfeature provides enhanced repeatability by compensating for fluctuations in chamberpressure due to vacuum pump performance, chamber condition, etc. To activate thisfunction:• Toggle the L DISP button to PRESS.• Toggle the SET/READ button to SET (LED is illuminated).• Adjust PRESS to the desired value using the INCR/DECR buttons.• Toggle the SET/READ to READ (LED is extinguished).NOTE: TO PROPERLY USE INDEPENDENT PRESSURE CONTROL IT ISNECESSARY TO CHARACTERIZE THE DESIRED GAS FLOW AND THE EFFECTOF INDEPENDENT PRESSURE CONTROL ON CHAMBER PRESSURE. REFER TOTHE APPENDIX (Page 74) FOR INDEPENDENT PRESSURE CONTROLCHARACTERIZATION PROCESS GUIDELINES.To disable Independent Pressure Control, set PRESS value to zero.35


TUNING THE RF MATCHING NETWORKThe <strong>CS</strong>-<strong>1701</strong> utilizes an L-C tuning network to ensure maximum transfer of energy intothe chamber. The unit is equipped with a manual tuning system comprised of motordriven air capacitors which can be positioned by the operator to achieve minimumreflected power during processing. This positioning is accomplished through front panelmounted switches. The unit is also equipped with Autotuning circuitry which, when inauto tuning mode, continually monitors the forward-to-reverse power ratio duringprocessing <strong>and</strong> positions the air capacitors for optimum power transfer to the chamber.CAUTION: REFLECTED POWER LEVELS THAT BECOME EXCESSIVE CANCAUSE DAMAGE TO, OR FAILURE OF, THE RF POWER GENERATOR. IT ISVITAL THAT REFLECTED POWER BE MONITORED AND KEPT TO A MINIMUMLEVEL DURING OPERATION.<strong>Manual</strong> Tuning• Toggle the AUTO/MAN switch to MAN position.• Toggle C1 <strong>and</strong> C2 switches to minimize reflected power.• Reflected power value is displayed on both the RF Power Generator <strong>and</strong> on theLEFT DISPLAY of the Process Controller when monitoring RP.Automatic Tuning• Toggle the AUTO/MAN switch to the AUTO position.• Reflected power value is displayed on both the RF Power Generator <strong>and</strong> on theLEFT DISPLAY of the Process Controller when monitoring RP.• Monitor reflected power during the plasma process to ensure proper operation ofAutotuning.36


ENDPOINT DETECTIONThe chamber mounted UV (ultraviolet) light detector is designed for process end pointdetermination. The detector is interfaced with the process controller <strong>and</strong> functions bydetecting intensity changes in the UV light emitted from the plasma. For instance, anendpoint setting of 50 percent would cause the process to terminate once the UVintensity is 50 percent of what the intensity was at the beginning of the process. This isparticularly useful for cleaning <strong>and</strong> etching applications where the UV light outputbecomes much less intense once all the material is removed.Endpoint detection is desirable because the material of interest can be treated in theshortest amount of time necessary to achieve the desired result. This makes theplasma process more economical <strong>and</strong> reduces heat build-up in the chamber. Also, overetching can be avoided.Proper use of endpoint detection requires some trial <strong>and</strong> error on the part of the systemoperator in determining what the endpoint is for a specific application. The idealendpoint setting is the highest setting that will fully treat the material. Keep in mind thatthe lower the endpoint setting, the longer the process will take.To determine endpoint, place a load of untreated material in the chamber <strong>and</strong> arbitrarilychoose an endpoint setting (fifty percent may be a good place to start). Now run theprocess to its completion at that endpoint setting. Analyze the treated material todetermine if the treatment was satisfactory.If the treatment is not satisfactory, reduce the endpoint setting <strong>and</strong> put in a fresh load ofuntreated material. The amount that the endpoint setting is reduced for this second runwould depend on how well treated the parts were from the first run. If the treatment wasnearly complete, a ten percent reduction in endpoint setting may be sufficient; if thetreatment was not even close to complete, a thirty or forty percent reduction may benecessary.On the other h<strong>and</strong>, if the treatment was satisfactory on the first run, it may be necessaryto increase the endpoint setting in order to optimize for the shortest amount oftreatment time.Programming EndpointThe endpoint can be set for any number from 1 to 100 percent. The default value fordeactivating the endpoint detection system is 100 percent.To set the endpoint:1.) Toggle the L DISP button to ENDPT.2.) Press the SET/READ button to get in the SET mode (LED is illuminated).3.) Toggle the INCR <strong>and</strong> DECR buttons to reach the desired setting as indicated on theleft display.37


PROCESS PROGRAMMINGThis section describes the programming steps necessary for running processes in boththe <strong>Manual</strong> <strong>and</strong> Automatic operational modes.MANUAL OPERATIONWhen developing a process, the manual mode must be used to determine gas settingversus pressure relationships as well as usable ‘Pressure Control’ values. After initialsetup in this mode the automatic mode is as simple as pressing the START button. Theprocess settings can be programmed by increasing or decreasing the variousparameter switches until the process controller readout corresponds with the valuesthat the operator wishes to obtain.Let’s program the unit for a hypothetical oxygen cleaning process. The conditions forthe process are as follows:PRESSUREPOWERTIMEGAS SETTINGBASE PRESSURE700 mTorr400 Watts2 MinutesTO BE DETERMINED80 mT1. Turn the unit on by pressing the POWER switch on the front panel. Plug in thevacuum pump.2. Make sure that the RF generator powers up with the system. If it does not, checkthe breaker located on the back panel of the generator. If the system is beingpowered up for the first time, check that the generator is properly aligned forremote operation by the plasma system (check RF generator manual).3. Press the PROGRAM button until you see the program you wish to set, displayedas a number between 1 <strong>and</strong> 9.4. Press the MAN OP button to enter the manual mode. This LED should now beilluminated <strong>and</strong> we should be able to sequence through the process event buttonslocated to the right of the MAN OP button.5. Now depress the VAC ON button. This will open the valve between the chamber tothe vacuum pump <strong>and</strong> evacuation of the chamber will begin.6. After around fifteen seconds depress the button labeled PRESS. This opens avalve in the system <strong>and</strong> exposes the capacitance manometer pressure gauge tothe chamber. The pressure reading on the LEFT DISPLAY should now begin todrop (L DISP must be toggled to PRESS in order for the display to show pressure).38


NOTE: IF THE “PRESS” BUTTON HAS NOT BEEN DEPRESSED, THEPRESSURE READING IS NOT ACCURATE SINCE THE GAUGE IS NOTEXPOSED TO THE CHAMBER.7. Notice in the list of parameters for the cleaning process that we chose a basepressure of 80 mT. Although in the manual mode the machine will continue topump down beyond the base pressure until you turn on the gases, now is a goodtime to set the base pressure for future AUTOMATIC runs. Toggle the L DISPbutton until BP/RP (Base Pressure/Reflected Power) is illuminated. Press the SETbutton for the left display <strong>and</strong> note that the SET LED is lit. Now increase ordecrease the left display value until it is equal to "80" using the INCR <strong>and</strong> DECRbuttons below the display. Press the SET button again, this time extinguishing theset LED. The base pressure is set.8. Toggle the left display to the PRESS position again to show the chamber pressure.9. The next step is to introduce the process gas into the chamber <strong>and</strong> determine thegas setting needed to achieve the specified process pressure of 700 mTorr.Depress the SET button on the right display so you have entered the set mode(LED lit) <strong>and</strong> toggle the R DISP button to observe the gas settings for the variousgas channels. All channels should be set at zero except for the channel connectedto the O 2 line. Set the value for the O 2 channel at 50 (MFC is 50% open). Open thegas cylinder. Press the GAS ON button. The pressure will rise <strong>and</strong> stabilize at acertain pressure. If the pressure is higher than 700 mTorr, we have to decreasethe O2 channel setting accordingly. If it is less than 700 mTorr, increase the gassetting. Repeat this procedure until the proper pressure is obtained then recordthe value displayed on the O 2 channel for future reference <strong>and</strong> flow calculations ifdesired (see "Calculating Gas Flow", page 71).10. Now that the proper gas flow <strong>and</strong> pressure are set, we must set the power to 400watts. Toggle the L DISP button so POWER is illuminated <strong>and</strong> press the SETbutton to enter the set mode as above. Increase or decrease the value shown untilit displays 400. Now push the SET button so that you are once again in the readmode. Before turning on the RF make sure that the toggle switch for the automatictuner is in the AUTO position if you purchased this option. Now press the RF ONbutton, you will note the response on the meter of the RF generator <strong>and</strong> may hearan audible alarm indicating reflected power. Within 30 seconds the unit shouldtune <strong>and</strong> reflect less than 5% of the forward power (The meter reading on thegenerator should be less than 5% in the reflected power mode) .11. At this time toggle the left display to show TIME. Notice in the read mode that thetimer is increasing in seconds. Since we are in <strong>Manual</strong> operation, the timer begancounting up when the RF power was turned on <strong>and</strong> will continue to run until the RFis turned off. In Automatic mode, the timer counts down from the time preset bythe operator in the process controller instead of counting up from zero.39


12. Switch to the set mode for time (left display) <strong>and</strong> enter the process time of 120seconds (2 minutes) into the process controller.13. The process is now entered in the controller memory <strong>and</strong> ready to run in automaticmode.14. To end the process, press the RF ON button to shut down the RF power, thenpress the GAS ON button to shut down the gas flow. Both the RF ON <strong>and</strong> GASON LEDs will extinguish.15. In order to vent the chamber back up to atmospheric pressure, press the BLEEDbutton. The unit will pump down to a preset base pressure (BLEED button LED willblink while the VAC ON <strong>and</strong> PRESS button LED's remain steady) before ventingback to atmosphere.AUTOMATIC OPERATIONProgramming a process in the automatic mode is accomplished the same way as in themanual mode. But running in the automatic mode is different in that parameters cannotbe changed during a process. Also the Process Controller will automatically shut downthe RF power <strong>and</strong> gas flow at the end of the process <strong>and</strong> bleed the chamber back toatmospheric pressure.1. Toggle the PROGRAM button to select the program step in which you wish toenter your desired process parameters (generally program 1). There are 9program channels available.2. Depress the MAN OP switch to select Automatic mode of operation (LEDextinguished).3. To program the gas settings, use the R DISP switch:a) Toggle the R DISP switch to read the desired gas channel.b) Toggle the gas SET/READ switch to the SET position (LED illuminated).c) Adjust the gas set point by using the INCR/DECR buttons.d) Toggle the gas SET/READ switch to the READ position (LED extinguished).4. To program all other process parameters, use the L DISP switch:a) Toggle the L DISP switch to read PRESS. Toggle the SET/READ switch to theSET position (LED illuminated). Adjust PRESS to zero using INCR/DECRunless Independent Pressure Control is desired (See Independent PressureControl, page 74 for instructions on how to set this parameter).b) Toggle the L DISP switch to the PWR position. Adjust the RF power to thedesired level in the same manner as above (make sure remote control <strong>and</strong>remote signal on the RF Power Generator are activated if using the RFX 600).c) Toggle the L DISP switch to the ENDPT position. If you desire to set anendpoint, refer to Endpoint Detection, page 37 for guidelines. Otherwise, ensureENDPT value is set at 100 (default setting to deactivate this option).40


d) Toggle the L DISP switch to the TIME position. Adjust the process time todesired length in seconds using the DECR/INCR switch.e) Toggle the L DISP to BP/RP (Base Pressure/Reflected Power). Adjust thissetting for the desired chamber evacuation pressure level (Base Pressure) thatmust be reached before gas flow can be activated (typically 50-150 mTorr).5. Select the next program step, if any, you wish to program into the ProcessController by toggling the PROGRAM button.6. Repeat the above steps until all programs that you intend to usesequentially to complete a process have been entered.7. When your final process step has been entered, advance to the next programnumber <strong>and</strong> toggle the L DISP switch to the TIME position. Use the INCR/DECRswitches to adjust this program's TIME value to zero.8. Depress SET/READ to READ (the LED will be extinguished). This storesparameters in the Process Controller memory.9. Toggle PROGRAM to the beginning program number in the process sequence.10. Push START.The system is now running the process in Automatic mode. The Process Controller willvacuum down the reactor to the base pressure, turn on gas flow, turn on RF power, <strong>and</strong>process the sample until the programmed time has elapsed. The controller will thenread the TIME setting in the next program number to evaluate whether to proceed withan additional program step. If a TIME value greater than zero is found, the ProcessController will load the next program's parameters <strong>and</strong> start that program; if not, theProcess Controller will turn off the RF power <strong>and</strong> gas flow. The system will then pumpdown to a preset base pressure <strong>and</strong> vent to atmospheric pressure.The amount of time remaining <strong>and</strong> the actual conditions of power, pressure, reflectedpower, <strong>and</strong> gas flow in the chamber can be monitored at any time during the course ofthe process:1. Toggle the SET/READ to READ position (LED extinguished).2. Toggle the L DISP for PRESS, POWER, TIME <strong>and</strong> RP parameter readings asdesired.3. Toggle the R DISP for GAS1-GAS6 percent flow readings.41


SERVICE AND MAINTENANCEThis section gives information on the warranty <strong>and</strong> details on servicing the equipment.Recommended maintenance <strong>and</strong> part replacement procedures are outlined. A troubleshooting guide is also included.WARRANTY1. This <strong>March</strong> system is guaranteed to be free of defects in workmanship <strong>and</strong>components. This warranty covers labor for a period of ninety (90) days <strong>and</strong> parts for aperiod of one (1) year, with the exception of ceramics, glass, seals, lubricants, <strong>and</strong>consumable parts such as rollers, bearings etc.2. The exclusive remedy for any breech of this warranty is as follows: <strong>March</strong>Instruments, Inc. will furnish without charge, repairs to or replacement of those partsproven to be defective in material or workmanship. <strong>March</strong> Instruments, Inc. will issue aReturn Authorization number for the defective parts. The customer will give <strong>March</strong>Instruments, Inc. a Purchase Order number of a dollar amount to cover the cost ofthese parts. Once the system is operational the customer will return all defective <strong>and</strong>/orunused parts back to <strong>March</strong> Instruments, Inc. with the Return Authorization number onthe outside of all packages. Once these parts are received a credit will be given minusany shipping or transportation costs. No claim may be made for any incidental orconsequential damages.3. All transportation <strong>and</strong> shipping charges shall be borne by the customer.4. <strong>March</strong> Instruments, Inc. will inspect the equipment <strong>and</strong> decide upon such repairs orreplacement as are necessary. The customer will be notified of any charges incurredthat are not covered by this warranty prior to undertaking those repairs.5. Any customer modification of this equipment, or any repairs undertaken without priorwritten consent of <strong>March</strong> Instruments, Inc. shall render this warranty void.6. This warranty is expressly in lieu of all warranties, expressed or implied, includingimplied warranty of merchantability or fitness for a particular purpose unless otherwiseagreed to in signed correspondence from <strong>March</strong> Instruments, Inc. <strong>March</strong> Instruments,Inc. shall not be responsible for any damage caused by improper installation, use,servicing or testing of equipment.NOTE: PLEASE COMPLETE AND RETURN ALL WARRANTY REGISTRATIONSRECEIVED WITH THIS SYSTEM. WARRANTY CLAIMS FOR SYSTEMSCOMPONENTS SOLD BUT NOT MANUFACTURED BY MARCH INSTRUMENTS,INC. SHOULD BE PURSUED THROUGH THE DEFECTIVE EQUIPMENT'SMANUFACTURER.42


SERVICINGIf a <strong>March</strong> Instruments, Inc. product requires service or if technical assistance isdesired, contact the Customer Service Department at (925) 827 1240 (or by fax at (925)827 1189). Be prepared to provide:• The serial number of Plasma System <strong>and</strong> all associated components.• A detailed description of process parameters, including material being etched, gasor gases used, chamber pressure during process, RF power levels applied <strong>and</strong>duration of process.• A detailed description of the problems encountered.If a unit is to be returned to <strong>March</strong> Instruments for service or for any other reason, thefollowing procedures must be followed:• Obtain a Return Authorization number (RA) through the <strong>March</strong> Customer ServiceDepartment. Display this number on your shipping label. A unit received withoutan RA number visible will be rejected.• Repack the system in its original shipping container. If this is no longer available,take special precautions to avoid damage to any glass chamber sections <strong>and</strong>other fragile components. An approved shipping container may be purchasedfrom <strong>March</strong> Instruments.• If the system is under warranty, you will be charged only for travel expenses<strong>and</strong>/or shipping costs. If the system is out of warranty, a purchase order will berequired <strong>and</strong> you will be billed for all parts, service, shipping costs <strong>and</strong>/or travelexpenses.RECOMMENDED SPARE PARTS FOR 6” SYSTEMThe following is a list of recommended spare parts for the <strong>CS</strong>-<strong>1701</strong> system. Thelocation of the parts on the system is shown on the following pages.Part Number Quantity Description002-5006 1 Window, Quartz004-7004 1 O’ring 345 Viton004-7005 1 O’ring 259 Viton004-7006 1 O’ring 240 Viton004-7013 1 O’ring 449 Viton004-7014 1 O’ring 023 Viton004-7015 1 O’ring 262 Viton007-8002 2 Coupler008-1035 2 Fuse, 1A SLO-BLO009-1013 1 Mass Flow Controller, 100 sccm009-1018 2 Valve, Clippard ET-2M-12V009-1020 1 Valve, Clippard ET-3M-12V011-2000 1 Vacuum Valve O’ring Kit011-2006 1 Centering Ring, NW-25 (1”)Above parts available in a single kit, part number 99-099-009.43


RECOMMENDED SPARE PARTS FOR 8” SYSTEMPart Number Quantity Description002-5006 1 Window, Quartz004-6060 1 O’ring 275 Viton004-6062 1 O’ring 277 Viton004-6064 1 O’ring 455 Viton004-6066 1 O’ring 457 Viton004-6068 1 O’ring 267 Viton004-6070 1 O’ring 256 Viton004-6072 1 O’ring 361 Viton004-7014 1 O’ring 023 Viton007-8002 2 Coupler008-1035 2 Fuse, 1A SLO-BLO009-1013 1 Mass Flow Controller, 100 sccm009-1018 2 Valve, Clippard ET-2M-12V009-1020 2 Valve, Clippard ET-3M-12V011-1039 1 Centering Ring, NW-40 (1.5”)011-2000 1 Vacuum Valve O’ring Kit011-2006 1 Centering Ring, NW-25 (1”)011-4055 1 Air Cylinder (for lift lid)Above parts available in a single kit, part number 99-099-010.44


USER MAINTENANCEUser-performed maintenance required for the <strong>CS</strong>-<strong>1701</strong> system is minimal. However,regular attention to the suggested maintenance tasks listed below will help to ensureproper operation <strong>and</strong> maximum availability of the system. To ensure maximumperformance <strong>and</strong> process repeatability, the following items should be checked atregular intervals, the frequency of which is dependent upon the level of use of thesystem.CLEANING THE CHAMBERDepending on the process, the inside of the reaction chamber may need to be cleanedregularly with isopropanol or another suitable cleaning agent. It should be noted thatisopropanol is listed as a volatile organic compound <strong>and</strong> its use, even as amaintenance chemical, may be restricted by local government agencies. Use of anabrasive pad (like 3M) in conjunction with the cleaning agent is recommended excepton the quartz viewport.CAUTION: ISOPROPANOL IS A MILD SKIN AND EYE IRRITANT. USE GLOVESAND EYE PROTECTION WHEN CLEANING THE CHAMBER.If CF4 is used as a process gas, the recommended cleaning method is to scrub thechamber with hot, soapy water <strong>and</strong> then rinse, first with DI water, then with alcohol.After cleaning the chamber, always generate an argon or oxygen plasma for at least tenminutes at high power to remove any residual contamination.One means of ensuring chamber cleanliness in the absence of visual indications is to:1. Pump the chamber down to it's minimum achievable base pressure <strong>and</strong> record thatvalue.2. Generate an argon or oxygen plasma for fifteen minutes at high power <strong>and</strong> 400mTorr.3. Pump the chamber down to it's minimum achievable base pressure a second time<strong>and</strong> record that value. Compare this value to the first value. If there has been anotable decrease from the first pump-down to the second it is an indication thatcleaning of the chamber has occurred while running the plasma.4. Repeat this process until no discernible difference is noted in minimum basepressure from one test to the next.NOTE: USE OF CF 4 OR SIMILAR GASES CAN CAUSE A COATING OF THEREACTION CHAMBER AND IT'S COMPONENTS WITH BYPRODUCTS OF THESEGASES (TEFLONS). WHEN USING THESE TYPES OF GASES IN PLASMAPROCESSES, THE CLEANING REGIMEN MUST BE REPEATED VERY OFTEN TOREMOVE THE BYPRODUCTS AS THEY WILL EASILY REDEPOSIT ONTO CLEANSURFACES OF MATERIALS THAT YOU ARE TRYING TO TREAT.As a general rule, the chamber should be cleaned at least once a month but thefrequency is very process dependent. A dirty chamber is usually obvious on visualinspection. The time to solvent clean the chamber <strong>and</strong> run a cleaning plasma should beabout 20 minutes.45


VACUUM INTEGRITYIt is necessary to periodically check the vacuum integrity of the system. This checkshould be performed only after thoroughly cleaning the chamber to avoid confusingcontaminant out-gassing with vacuum leaks. The test is accomplished by completingthe following manual mode steps:1. Depress the MAN OP switch to select manual mode.2. Depress the VAC ON switch to begin pumping down the chamber.3. After 15 seconds depress PRESS switch to monitor chamber pressure.4. Observe the base pressure; it should fall below 100 mTorr in less than 5 minutes.5. Wait 20 minutes to allow any residual moisture to exit the system.6. Record the ultimate pressure.7. Turn off the VAC ON button. The main vacuum valve is now closed. If the systemis not vacuum-tight, the pressure will slowly rise above the recorded value inproportion to the size of the leak. The system pressure should not rise more than50 mTorr/min.Successful operation of the vacuum system ensures optimum performance <strong>and</strong>repeatability of this system. If any discrepancies were noted during the leak-back test,inspect the chamber gasket (plastic strip around the rim of the chamber). Dirt <strong>and</strong>contaminants can sometimes build up on the gasket, compromising vacuum integrity.Also check the gas line connections on the inside of the Process Controller for obviousdamage or leakage.Vacuum integrity should be checked once a month. A competent technician should beable to accomplish this task in 25 minutes.VACUUM PUMP OILMany of the problems with the vacuum system are associated with the vacuum pumpoil. It is important that the oil condition be checked periodically to verify that it is at theproper level <strong>and</strong> free of contaminants. Dirty or insufficient oil can result in poor vacuumpump performance. Dirty oil can also lead to possible chamber contamination due tothe increased vapor pressure backstreaming into the chamber from the contaminatedoil.To change the pump oil:1. Allow 15 minutes for the pump oil to cool slightly before beginning the operation. Thepump oil should not be cold as this makes it difficult to remove from the system.2. Vent the oil case to atmospheric pressure.3. Disconnect the pump from the system.4. Flush the pump with dry nitrogen to clear out any residual toxic or corrosive gases.5. Tilt pump in the direction of the drain plug.CAUTION: THE PUMP OIL IS A SKIN AND EYE IRRITANT. ALWAYS USE EYEPROTECTION AND APPROVED PERSONAL PROTECTIVE EQUIPMENT WHENCHANGING THE PUMP OIL.46


6. Unscrew the drain plug <strong>and</strong> drain the oil.7. Replace the plug <strong>and</strong> run the pump for about ten seconds, leaving the inlet portopen. This removes the oil from the pumping module.8. Drain this oil by removing the plug again.9. Replace plug <strong>and</strong> fill with fresh oil to the middle of the oil sight glass by dumping theoil into the oil fill port.Refer to the pump manual for explicit instructions on this <strong>and</strong> all other pumpprocedures.Some plasma processes may create a larger degree of pump oil contamination thanothers. Additional personal protective equipment may be necessary in some cases. Theend user of this equipment should conduct industrial hygiene sampling in accordancewith NIOSH, or other nationally recognized st<strong>and</strong>ards or test procedures, during thechanging of the pump oil. Do not allow this pump oil to flow down the sewer drain.Pump oil should be changed at least once a year. If the system is getting a lot of use<strong>and</strong> the process being used creates a large amount of contamination, the oil may needto be changed as often as every two months. If the pump oil appears visually dirty, itneeds to be changed. Check the pump oil at least once a month. A competenttechnician should be able to change the pump oil in about one hour.VACUUM LEAK DETECTIONA vacuum leak can often be detected by using isopropyl alcohol. By bathing thesuspected component in the volatile liquid, a vacuum leak will suck in the vapors <strong>and</strong>cause a rise in pressure on the display panel. A rise of 5 mTorr or more would indicatea faulty vacuum component or connection. To detect small leaks, it is necessary tokeep the flow of alcohol on the component or connection for approximately 10 seconds.Small leaks will not show up with a quick wetting. The flow of alcohol should cover theentire connection or component associated with the vacuum. When using the alcohol,avoid contact with the connection lettering on the back of the system as this will causethe surface to turn white <strong>and</strong> the lettering will disappear.If the system will not pump down below 1500 mTorr, this method of leak detection maynot be useful. The user will do best by following the troubleshooting chart (seeTROUBLESHOOTING section) to locate <strong>and</strong> fix the leak.47


DATE:CHAMBERCLEANING<strong>CS</strong>-<strong>1701</strong> MAINTENANCE CHECKLISTVACUUMCHECKPUMP OILCHECKPUMP OILCHANGE48


<strong>CS</strong>-<strong>1701</strong> GAS FLOW DIAGRAM49


SYSTEM TROUBLESHOOTINGThis section is a troubleshooting guide for the various components in the systemalong with a listing of the necessary tools. The SEMI S2-93 level of hot work isalso given for any maintenance procedure that must be performed with thesystem electrically energized.ERROR INDICATORSA flashing LED on the system front panel indicates that the system was unableto achieve the setpoint for a process parameter. The error indicators, with theexception of “PRESSURE” are active only when the system is operated in theautomatic processing mode. If an error is triggered during automatic processing,the process will stop.Pressure:A flashing “PRESSURE” LED indicates that the pressure setpoint was notachieved in the time period allowed by the internal settings. A pressure error canoccur under any of the following conditions:1. The base pressure set by the operator was not achieved within five minutesafter pressing the START button.2. The Independent Pressure Control (IPC) is improperly set. Remember that ifyou do not want to use IPC, the “PRESSURE” setting should always be zero.When using IPC, the error indicator will be activated if the “PRESSURE”setting is either too low or too high to be achieved at the set gas flow (seeIndependent Pressure Control section for details).3. The chamber pressure is above 2000 mT or below 50 mT.Power:A flashing “POWER” LED indicates that the “POWER” setpoint was not achievedwithin 45 seconds. Possible causes:1. Plasma system autotuning is not functioning properly, causing excessivereflected power.2. RF generator is defective.Gas:A “GAS” (Gas1, Gas 2, etc.) LED will flash if the gas flow for that gas channel isconsistently more than 5 percent above or below the setpoint. Possible causes:1. Valve on the gas cylinder is closed or the gas cylinder is empty.2. Mass flow controller is defective.50


TOOL REQUIREMENTSWARNING: BEFORE BEGINNING ANY REPAIR OR MAINTENANCERELATED PROCEDURE THAT REQUIRES REMOVAL OF EXTERNALPANELS, DISCONNECT THE POWER AND APPLY THE APPROPRIATELOCKOUT DEVICE TO THE POWER PLUG IN ORDER TO PREVENTREENERGIZATION OF THE EQUIPMENT.The following tools will be required at one time or another to perform thetroubleshooting procedures in this section. Some tools can be used in place ofothers, i.e. adjustable wrench in place of a 9/16 wrench.1.) Digital Voltmeter capable of reading 0-230VDC, 0-230VAC, Continuity, <strong>and</strong>Resistance from 0-2M OHM.2.) Watt meter capable of reading 0-1000 Watts at 13.56Mhz.3.) Open end wrenches consisting of the following:3/16”, 1/4”, 5/16”, 3/8”, 7/16”, 1/2”, 9/16”, 5/8”, 11/16”, 3/4”.4.) Allen wrench set consisting of the following:.050”, 1/16”, 5/64”, 3/32”, 7/64”, 1/8”, 9/64”, 5/32”, 3/16”, 7/32”, 1/4”, 5/16”.5.) Slotted screwdriver set consisting of the following:1/8” X 2” blade, 3/16” W X 1 1/4” L blade, 5/32” W X 4” L blade, 1/4” W X4” L blade, 3/16” W X 6” L blade, 5/16” W X 6” L blade.6.) Phillips screwdriver set consisting of the following:#0 X 2” blade, #1 X 2 3/4” blade, #2 X 3 3/4” blade, #1 X 10” blade, #2 X10” blade, #1 X 1 1/4” blade, #2 X 1 3/8” blade.7.) 4” <strong>and</strong> 6” pair of wire cutters.8.) 5” <strong>and</strong> 6 3/4” pair of needlenose pliers.9.) 1 pair of 10” tongue <strong>and</strong> groove pliers.10.) Nut driver set consisting of the following:3/16”, 7/32”, 1/4”, 9/32”, 5/16”, 11/32”, 3/8”, 7/16”, 1/2”, 9/16”.11.) 4” adjustable wrench.12.) 10” adjustable wrench.13.) 1 pair of slip joint pliers.14.) 1 pair of wire strippers capable of stripping from AWG 24 to AWG10 wiresizes.15.) 1/4” Swagelok fitting caps, 4 ea.DEFINITION OF HOT WORK LEVELSThese definitions apply to Hot Work Levels referred to in the followingTroubleshooting <strong>and</strong> Replacement Procedures sections.LEVEL 3: Equipment is energized. Live circuits are exposed <strong>and</strong> accidentalcontact is possible. Potential exposures are less than 30 volts RMS, 42.2 voltspeak, 240 volt-amps, <strong>and</strong> 20 Joules.LEVEL 4: Equipment is energized. Live circuits are exposed <strong>and</strong> accidentalcontact is possible. Voltage potentials are greater than 30 volts RMS, 42.2 voltspeak, 240 volt-amps, <strong>and</strong> 20 Joules or RF is present.51


Table 4-1. Power/Display System TroubleshootingProblem Possible Cause Solution Hot WorkA.) Displays do not light upwhen Power switch isdepressed.1.) Power cord disconnected.2.) Fuse on the rear of the system hasfailed.Reconnect power cord.Replace fuse.********3.) Host computer screen saver isactivated (if using a host computer).Press the host computer space bar or deactivate screen saver, thenturn system off then on to reset internal computer.****4.) DC Power supply inoperative.Remove external cover <strong>and</strong> defeat interlock. Turn on system power<strong>and</strong> on Interface PCB measure voltages at power connector P4. P4-1 =+15VDC, P4-3 = -15VDC, P4-4 =+5VDC, P4-2,5,6 = Ground. Allvoltages should be within .5V of that stated above.LEVEL 35.) Ribbon cables have open wires orinsulation nicked.Repair/replace ribbon cables as necessary.****6.) EPROM failure.Replace EPROM’s on computer PCB.****7.) Power supply electrically shorted.With all system power off <strong>and</strong> power cords removed, test for shortbetween P4-1 <strong>and</strong> ground, short between P4-3 <strong>and</strong> ground <strong>and</strong> shortbetween P4-4 <strong>and</strong> ground.****8.)Faulty display PCBReplace display PCB <strong>and</strong> test system.****9.) Faulty computer PCBReplace computer PCB <strong>and</strong> test system.****B.) Displays come on butblank out.10.) Faulty interface PCB1.) Faulty EPROM’s on computer PCB.Replace interface PCB <strong>and</strong> test system.Remove external cover. Remove <strong>and</strong> replace EPROMs on thecomputer PCB <strong>and</strong> test system.********2.)Faulty display PCB.Replace display PCB <strong>and</strong> test system.****52


B.) (Continued)Table 4-1.(Continued) Power/Display System TroubleshootingProblem Possible Cause Solution Hot Work3.) Faulty computer PCBReplace computer PCB <strong>and</strong> test system.****4.) Faulty interface PCB.Replace interface PCB <strong>and</strong> test system.****5.) Faulty DC power supply.Check DC voltages at P4 of interface PCB while display is blankedout. If not present replace DC power supply.****C.) Cannot change programsettings using keyboard.Note: The settings cannotbe changed duringautomatic operation orcomputer controlledoperation from a hostcomputer.6.) Faulty MFC, clippard valve, or otherpart.1.) Faulty ribbon cables.2.) Internal computer stopped.3.) Host computer stalled.With power off, remove all connectors from clippard valves <strong>and</strong>MFC’s. Turn on power. If display is normal turn off power <strong>and</strong>reconnect one connector. Turn on power <strong>and</strong> check display.Continue in this manner until display returns to faulty condition thenreplace the component that was last connected. If display is stillfaulty or blanks out, there is more than one bad circuit card.Replace the interface, computer <strong>and</strong> display PCB’s at the sametime with boards that are known to be good.Check <strong>and</strong> repair/replace ribbon cables as necessary.Turn system off then on to reboot computer.If running Remote Operator Interface, reset host computer’s RS-232 Buss.LEVEL 3************53


Table 4-2. Vacuum System TroubleshootingProblem Possible Cause Solution Hot WorkA.) Chamber will notevacuate in either Auto or<strong>Manual</strong> operation mode.Pressure always reads5000 mT on display panel.1.) Pump not running.2.) Pump oil dirty or not at correct level.3.) Oil filter clogged.4.) Vacuum line kinked or blocked.5.) Chamber gasket faulty.6.) Input nitrogen/ dry air pressure toolow.Ensure pump is plugged in <strong>and</strong> has power to it.Check <strong>and</strong> replace oil as necessary.Clean/replace oil filter.Remove blockage <strong>and</strong> ensure a free path from pump to chamber.Chamber gasket should be clean <strong>and</strong> free of debris, cracks, orpitting. Separate inside <strong>and</strong> check for cracks. Replace gasket if anyabnormality is detected.Bring nitrogen/dry air pressure to between 45 <strong>and</strong> 60 psig.************************7.) Bleed valve leaking or stuck in theopen position.8.) Faulty vacuum valve.9.) Faulty Baratron clippard valve.Replace bleed valve.Repair/replace vacuum valve.Remove external cover <strong>and</strong> defeat interlock. On the display paneldepress the MAN OP <strong>and</strong> VAC ON switches. Using the digitalvoltmeter set to read 15VDC, connect plus lead to ORG wire onBaratron clippard valve <strong>and</strong> common lead to chassis. Voltageshould read 15VDC with PRESS switch off <strong>and</strong> zero volts withPRESS switch on.If not, replace Interface PCB.If so, connect plus lead to Pin 3 (GRN wire on the Baratronconnector). If voltage reads 5VDC or higher, replace Baratronclippard valve <strong>and</strong> recheck voltage. If still high, replace Baratron. Ifvoltage at Pin 3 (GRN wire of Baratron connector) reads less than5VDC, replace Interface PCB.********LEVEL 354


Table 4-2. (Continued) Vacuum System TroubleshootingProblem Possible Cause Solution Hot WorkB.) Chamber will notevacuate to base pressure<strong>and</strong> system does not passleakback check.1.) Chamber Dirty.2.) Pump oil dirty or not at correct level.Clean chamber per instructions on page 44 <strong>and</strong> perform leakbackcheck.Check <strong>and</strong> replace oil as necessary.********3.) Oil filter clogged.4.) Vacuum line kinked or blocked.5.) Chamber gasket faulty.6.) Leaking gas clippard valve.7.) Leaking vacuum valve.Clean/replace oil filter.Remove blockage <strong>and</strong> ensure a free path from pump to chamber.Chamber gasket should be clean <strong>and</strong> free of debris, cracks, orpitting. Separate inside <strong>and</strong> check for cracks. Replace gasket if anyabnormality is detected.On display panel depress MAN OP switch. Then depress VAC ON<strong>and</strong> PRESS switches. Let chamber evacuate for 5 minutes. Ondisplay panel, set all gases to zero. If pressure starts dropping tobase pressure, this indicates a bad gas clippard valve.To determine which valve is defective, set gases one at a time to100% flow <strong>and</strong> watch pressure. If the pressure does not increaseafter one minute, set its flow to zero <strong>and</strong> repeat the procedure withthe next gas. If the pressure rises, replace that gases clippardvalve.Repair/replace vacuum valve.********************55


B.) (Continued)Table 4-2. (Continued) Vacuum System TroubleshootingProblem Possible Cause Solution Hot Work8.) Leaking bleed valve.On display depress MAN OP switch. Then depress VAC ON <strong>and</strong>PRESS switches. Let chamber evacuate for 5 minutes. Shut offnitrogen/dry air to system. If pressure decreases after 1 minute,replace bleed valve.****9.) Faulty Independent PressureControl clippard valve.Using a 9/16” open end wrench, remove 1/4” tubing connected toIPC fitting. Cap the fitting off on the vacuum side. On display paneldepress MAN OP switch. Then depress VAC ON <strong>and</strong> PRESSswitches. If the chamber evacuates to base pressure, replace theIPC clippard valve.****10.) Leaking vacuum component orconnection.Check all connections <strong>and</strong> components associated with thechamber vacuum using the procedure outlined on page 46. Checkaround all feedthroughs beginning from the bottom <strong>and</strong> working up.Replace components as needed.LEVEL 3C.) Chamber will notevacuate to base pressure<strong>and</strong> system passesleakback check.1.) Leak between connection atvacuum valve(pump side) <strong>and</strong> vacuumpump.2.) Pump oil dirty.Tighten all connections from vacuum valve to pump <strong>and</strong> perform aleakback check. Check connections using alcohol procedureoutlined on page 46.Replace pump oil.********3.) Pump oil too low or too high.Add/remove oil to bring within specifications.****4.) Faulty pump.Replace pump.****56


Table 4-3. Bleed System TroubleshootingProblem Possible Cause Solution Hot WorkA.) System bleeds but cannotopen chamber (Does not bleedlong enough <strong>and</strong> chamber isstill under a vacuum).B.) System will not bleed at theend of a process.1.) Nitrogen/dry air input too low.2.) System microprocessor operatingparameter changed.1.) System not programmed correctly.Bring nitrogen/dry air up to at least 45 psig.Download correct parameters to system microprocessor.Program system with TIME set to zero in the program followingthe one being used.************2.) Vacuum valve clippard valve notclosing.3.) Bleed valve not opening.Remove system external cover <strong>and</strong> defeat interlock. On thedisplay panel depress the MAN OP <strong>and</strong> VAC ON switches.Depress the VAC ON switch a second time. A release of airshould be heard from the vacuum valve clippard valve. If so,replace the clippard valve.If not, using a digital voltmeter set to read 15VDC, connect pluslead to BLU/GRY wire on clippard valve <strong>and</strong> common lead tochassis. With VAC ON switch on, voltage should be zero. Withswitch off, it should be 15VDC. If no, replace Interface PCB. Ifyes, replace vacuum clippard valve.Remove external cover <strong>and</strong> defeat interlock. Using digitalvoltmeter set to 15VDC, connect plus lead to P2-18 on InterfacePCB <strong>and</strong> common lead to chassis. On display panel, depress <strong>and</strong>hold BLEED switch. Voltage should read zero. Release BLEEDswitch. Voltage should read 15VDC. If not, replace Interface PCB.If it does, depress the STOP switch on the display panel. Connectplus lead of voltmeter to the YEL/BLU wire on the bleed valve <strong>and</strong>set it to read 220VAC. On the display panel, depress <strong>and</strong> hold theBLEED switch. Voltage should be line volts either 110V or220VAC depending on system input power. Release BLEEDswitch. Voltage should be zero. If not, replace Solenoid DriverPCB.If so, replace bleed valve.LEVEL 3LEVEL 3LEVEL 3LEVEL 457


Table 4-3.(Continued) Bleed System TroubleshootingProblem Possible Cause Solution Hot WorkC.) System bleeds when thestart switch is pressed.1.) Process parameters not setcorrectly.Ensure a value greater than zero is set from the display panel forBase Pressure on the program number being used. If usingRemote Operator Interface program, ensure value for BasePressure is greater than zero on the host computer on theprogram number being used.****2.) Faulty EPROM or computer PCB.Replace computer PCB with new EPROMs.****Table 4.4 Gas System TroubleshootingProblem Possible Cause Solution Hot WorkA.) Gas reads higher or lowerthan setting, or reading isfluctuating on one gas. Othergases okay.1.) Gas bottle empty.2.) Gas turned off.3.) Gas control valve failure.Replace gas bottle.Turn gas on.Remove external cover. Replace control valve associated with thegas MFC that is not working.********LEVEL 34.) MFC failure.Remove external cover. Replace MFC associated with the badgas channel.LEVEL 3B.) Gas reads higher or lowerthan setting or reading isfluctuating on all gases.1.) Vacuum pump is leaking.2.) Vacuum pump oil is dirty or wronglevel.Repair/replace vacuum pump.Replace oil or fill to correct level.********3.) DC power supply failure.Remove Process Controller external cover <strong>and</strong> defeat interlock.Turn on power <strong>and</strong> measure voltages on Interface PCB at powerconnector P4. P4-1 =+15VDC, P4-3 = -15VDC, P4-4 =+5VDC,P4-2,5,6 = Ground. All voltages should be within .5V of valuesstated above.LEVEL 358


B.) (Continued)Table 4.4 (Continued) Gas System TroubleshootingProblem Possible Cause Solution Hot Work4.) Interface PCB failure.Remove external cover <strong>and</strong> defeat interlock. Turn on power. OnDisplay panel turn on MAN OP switch then VAC ON <strong>and</strong> PRESSswitches. Set gas one to 50%. Using digital voltmeter set to read5VDC measure voltage on Interface PCB P2-26. Voltage shouldbe +2.50VDC <strong>and</strong> steady. If fluctuating replace Interface PCB. Ifnot +2.50VDC adjust R12 for a reading of +2.5VDC.If voltage will not adjust, replace interface PCB. Depress the GASON switch on the display panel. Depress the R SET switch toextinguish the LED. Gas 1 should read 50%. If notadjust R8 on Interface PCB until gas 1 reads 50%. If reading isfluctuating or won’t adjust , replace Interface PCB.LEVEL 4C.) One or all Gas lamps ondisplay panel flash.5.) All MFCs have failed.1.) No gas flow to system.2.) MFC failure.This would only happen due to a large power surge to the system.If step B.) 4. above checked out good then all installed MFCswould be suspect.Turn on gas at gas bottle or replace with new bottle.Replace MFC.************3.) Gas control clippard valve failure.Replace clippard valve.****Table 4.5 RF System TroubleshootingProblem Possible Cause Solution Hot WorkA.) No RF output.; RFgenerator displays read zero.1.) Generator control cable faulty ornot plugged in tight.Using digital multimeter measure for continuity from Pin 1 at the15 Pin connector end to Pins 3 <strong>and</strong> 4 on the 25 Pin connectorend. If no continuity, repair cable. If continuity, install cable onmain system. Turn on system power <strong>and</strong> depress the MAN OPswitch.****59


A.) (Continued)Table 4.5 (Continued) RF System TroubleshootingProblem Possible Cause Solution Hot WorkDepress the VAC ON <strong>and</strong> PRESS switches. When chamber isaround 200 mTorr depress the GAS ON switch. Connect commonlead of multimeter to chassis ground <strong>and</strong> plus lead to pin 3 or 4on the 25 pin connector end of the generator control cable.Depress the RF ON switch on the display panel. Continuity shouldbe read on the meter when the RF ON switch is depressed. Ifcontinuity is not read, replace Interface PCB. If continuity is readthe RF generator is suspect.2.) Faulty RF generator.Turn on system power. On the display panel depress the MANOP switch then the VAC ON <strong>and</strong> PRESS switches. Evacuate thechamber to approx 200 mTorr then depress the GAS ON switch.Remove generator control cable from the RF generator <strong>and</strong> installthe generator test plug. Depress the REMOTE CONTROL <strong>and</strong>REMOTE SIGNAL switches on the RF generator (RFX 600) tothe off position then depress the POWER switch on. A greenINTLK lamp should be lit under the Left Display on the generator.If not, generator is faulty. If so, turn the LEVEL knob fully counterclockwise. On the system front panel, ensure the AUTO/MANtuning toggle switch is in the AUTO position. Depress the RF ONswitch on the generator. FWD PWR <strong>and</strong> REFL PWR displaysshould read zero. Depress <strong>and</strong> hold the SETPOINT switch <strong>and</strong>adjust the LEVEL knob for 300 Watts. Release the SETPOINTswitch. The FWD PWR should read 300 Watts <strong>and</strong> REFL PWRshould read no higher then 5 Watts <strong>and</strong> a plasma should be seenin the chamber. If, while depressing the SETPOINT switch, theLEVEL knob had no control then generator is faulty. If no FWD orREFL power was displayed on the Left <strong>and</strong> Right displays afterreleasing the SETPOINT switch <strong>and</strong> the SETPOINT indicatorlamp is flashing then generator is faulty.****60


Table 4.5 (Continued) RF System TroubleshootingProblem Possible Cause Solution Hot WorkB.) System not auto tuning.1.) Loose or broken motor couplers.Remove external cover from system. Turn on system mainpower. Place the AUTO/MAN tuning toggle switch in the MANposition. While observing the tuning network capacitors inside ofthe system enclosure, hold the C1 switch in the down position.The top capacitor should rotate one direction. Hold the C1 switchin the up position. The top capacitor should rotate in the oppositedirection. Do the same check using the C2 switch while observingthe lower capacitor. If either capacitor does not rotate both ways,check motor couplers for tightness at the shaft of the capacitor<strong>and</strong> shaft of the motor. Also check for broken coupler. Replace asnecessary.****2.) Faulty Motor Filter PCB.3.) Faulty Auto Tuning Controller PCB4.) Auto tuning circuit needsadjustment/alignment.Remove system external cover <strong>and</strong> defeat interlock. Shut powerto pump off <strong>and</strong> disconnect vacuum valve on chamber side ofvalve.Turn on system main power. Place the AUTO/MAN tuning toggleswitch in the MAN position. Using a digital voltmeter set to read15VDC, measure the voltage at the Motor Filter PCB between P1-2 <strong>and</strong> ground while holding the C1 or C2 switches (only switchshould give a reading). When the switch is in the lower position, itshould read either +15VDC or -15VDC. When the switch is in theupper position, the voltage should read the opposite of the lowerposition. Repeat procedure using the other switch <strong>and</strong> measuringvoltage at P1-3 to ground. If voltages are not present, perform theabove steps on P2-2 <strong>and</strong> P2-3. If voltages are present replaceMotor Filter PCB.If no voltages were read or only one voltage (either plus or minus)during step 2 above, replace Auto Tuning Controller PCB.Follow procedure outlined in Tuning <strong>and</strong> ReplacementProcedures, page 62.LEVEL 3****LEVEL 461


TUNING AND REPLACEMENT PROCEDURESThe following section describes the various tuning <strong>and</strong> replacement procedures that may benecessary for the <strong>CS</strong>-<strong>1701</strong> system.NOTE: LEFT AND RIGHT POSITIONS ARE DETERMINED TO BE RELATIVE TO VIEWSEEN WHEN FACING FRONT OF ETCHING UNIT.CAUTION: THESE CAUTIONS ARE APPLICABLE TO THE ACTIONS DETAILED ON THEFOLLOWING PAGES:• LINE VOLTAGE HAZARD EXISTS WHEN THE EXTERNAL PANELS AREREMOVED.• RADIO FREQUENCY VOLTAGE AND EXPOSURE HAZARD EXISTS WHEN THEEXTERNAL PANELS ARE REMOVED.• HIGH TEMPERATURE BUILDUP WILL OCCUR ON SOME ELECTRONICCOMPONENTS AND HEAT SINKS INSIDE THE EXTERNAL PANELS DURINGOPERATION.THE RF SYSTEMThis portion of the manual provides information necessary to perform <strong>Maintenance</strong>, FaultIsolation, <strong>and</strong> Removal <strong>and</strong> Replacement of subject assemblies in the RF system. It alsodetails Adjustments required for proper operation.Fundamentals of OperationAutotuning circuitry consists of an RF Phase <strong>and</strong> Magnitude sensing device, a TuningController <strong>and</strong> associated wiring. The RF Phase <strong>and</strong> Magnitude sensing device measuresforward <strong>and</strong> reverse power magnitudes <strong>and</strong> phase relationships of the RF as it is applied tothe tuning network. Phase of the RF power is measured at two points; magnitude is similarlymeasured. The measurement at one point is compared to that at the other point. If anydifference exists, it is output as a voltage to the amplifiers on the tuning controller printedcircuit board. Here it is amplified to a level sufficient to drive the tuning motors which controlthe positioning of the variable capacitors of the tuning network. When these capacitors areproperly positioned, there will be minimal st<strong>and</strong>ing waves in the RF transmission lines whichwill result in little or no difference in the value of the phase or magnitude of the RF whenmeasured at the two sensing points. This, in turn, results in a zero volt difference betweenthese points. No drive voltage for the tuning motors is created <strong>and</strong> the tuning capacitors willretain their position until the tuning degrades. When this occurs, the phase/magnitudesensor will detect the difference, <strong>and</strong> express it as a voltage, which will be amplified by thetuning controller amplifiers for application to the tuning capacitor motors <strong>and</strong> drive the tuningcapacitors to values capable of most effectively coupling the RF power to the plasma.When the Automatic/<strong>Manual</strong> Tuning switch on the control panel of the unit is placed in theAUTO position, the output of the amplifiers on the tuning controller PCB are applied to thetuning capacitor positioning motors. When the same switch is placed in the MANUAL mode,the operator controls tuning by applying a drive voltage of + or - 15V to the individual drivemotors through switches C1 & C2. By monitoring reverse (or reflected) power via the RFMeter on the control panel of the unit the operator can manually tune for a minimum reversepower level.62


<strong>Maintenance</strong>No regular maintenance is required.Fault IsolationThe following procedure is to be used in determining the cause of problems with thecomponents in the RF system.Hot Work Level 4Required Materials:• Multimeter• St<strong>and</strong>ard assortment of h<strong>and</strong> tools (See list on page 50)Procedure1. Using st<strong>and</strong>ard operational procedures <strong>and</strong> process parameters, run plasma systemthrough normal operational cycle.2. Observe forward power indication on RF Level meter. It should be possible to obtain anindication of the maximum level that the RF generator installed in the system is capableof achieving. The unit should be manually tuned for minimum reverse power asindicated on the RF Level meter. If it is not possible to manually tune the unit for areverse power level of 5 watts or less, proceed no further. Contact <strong>March</strong> Instrumentsto arrange for factory reconfiguration of the tuning network.3. Once unit is manually tuned properly, place the control panel mounted tuning modeselection switch in the AUTO position. The system should maintain approximately thesame low value of reverse power as indicated on the RF level meter. The unit maytemporarily go out of tune when switching from <strong>Manual</strong> to Automatic tuning but willreturn to a tuned condition after a few seconds. This is normal <strong>and</strong> expected. If the unitremains out of tune for more than 30 seconds, return to manual tuning <strong>and</strong> tune unit orturn off RF to prevent damage to the power amplifier.4. Increase <strong>and</strong> decrease chamber pressure by adjusting gas flow. The unit shouldmaintain approximately the same low value of reverse power as indicated on the RFlevel meter.5. Increase <strong>and</strong> decrease RF power level by RF generator output. The system shouldmaintain approximately the same low value of reverse power as indicated on the RFlevel meter.6. Place the control panel mounted tuning mode selection switch in the MAN position.Actuate C1 & C2 to detune the unit. This is indicated by a dimming or extinguishing ofthe plasma glow <strong>and</strong> an increase in the value of reverse power as indicated on the RFlevel meter or a sounding of the tuning alarm.7. Once unit is detuned, place the control panel mounted tuning mode selection switch inthe AUTO position. The system should tune to <strong>and</strong> maintain the original low value ofreverse power as indicated on the RF level meter.8. If the unit passes all of the steps detailed above, it is operational. If it fails any of thosesteps, continue with steps listed below.9. Ensure unit power has been turned off. Remove AC Line power cord if possible.10. Remove system external panel.63


11. Visually inspect RF Tuning Controller PCB for evidence of burning, shorting or damagedcomponents. If any evidence of damage is noted, repair or replace the printed circuitboard or failed components on that board. Inspect all wiring <strong>and</strong> connections formechanical <strong>and</strong> electrical integrity. Repair as necessary.12. To determine proper output from Phase/Mag module, disconnect wiring harness fromPhase/Mag module <strong>and</strong> connect test equipment as shown in Diagram 1. Set meter to 2volt scale (or closest setting).0000CommonDC VoltsDiagram 1.NOTE: IT IS NECESSARY TO DETERMINE WHICH PIN ON THE PHASE/MAG MODULEIS AT GROUND POTENTIAL. WHILE IT WILL ALWAYS BE ONE OF THE TWO OUTSIDEPINS, A SMALL PERCENTAGE OF PHASE/MAG MODULES WERE CONSTRUCTEDWITH THE NORMAL PIN ASSIGNMENT(AS ILLUSTRATED IN THE ABOVE ANDFOLLOWING DIAGRAMS) REVERSED. TO DETERMINE GROUND PIN ASSIGNMENT,CHECK FOR CONTINUITY BETWEEN ETCHER CHASSIS AND OUTSIDE PINS. IF PINASSIGNMENT IS REVERSE OF THAT ILLUSTRATED, THEN REVERSE MULTIMETERCONNECTIONS TO MATCH.13. Reconnect AC power cord if removed.14. Using st<strong>and</strong>ard operational procedures <strong>and</strong> process parameters, run plasma systemthrough normal operational cycle.15. Allow unit to warm up for at least one minute before taking readings.16. Multimeter should indicate a -.5 volt to +.5 volt swing when adjusting lowerpotentiometer from one end of it's travel to the other. If this range cannot be obtained,replace the Phase Magnitude module. See Adjustment procedures (page 65) for propersetting of potentiometers.17. Turn off RF power.64


0000CommonDC VoltsDiagram 2.18. Connect test equipment as shown in Diagram 2. Set meter to 50 millivolts scale (orclosest setting).19. Using st<strong>and</strong>ard operational procedures <strong>and</strong> process parameters, run plasma systemthrough normal operational cycle.20. Multimeter should indicate a -.5 volt to +.5 volt swing when adjusting upperpotentiometer from one end of it's travel to the other. If this range cannot be obtained,replace the Phase Magnitude module. See Adjustment procedure (page 65) for propersetting of potentiometers.21. If output of Phase/Magnitude Module is correct, check inputs to <strong>and</strong> outputs fromTuning controller PCB. Inputs on J1-7 & J1-8 should be equivalent to outputs fromPhase Magnitude module. Outputs of Tuning Controller, measured at IC1, pins 1 & 7,should be equivalent to outputs from Phase Magnitude module amplified by up to afactor of 50. Other Tuning Controller inputs to test include:LocationConnector J1 Pin 3Connector J1 Pin 6Connector J2 Pin 1Connector J2 Pin 2VoltageGROUNDGROUND-12 VDC+12 VDC22. If inputs to the Tuning Controller PCB are correct, yet outputs are incorrect, replaceTuning Controller PCB.23. If unit will tune in one mode but not the other, <strong>and</strong> outputs are correct from bothPhase/Magnitude module <strong>and</strong> Tuning Controller PCB, check tuning mode selectionswitch (marked AUTO MAN) for continuity.Removal <strong>and</strong> Replacement of the Phase Magnitude ModuleThe following lists the steps necessary for the removal <strong>and</strong> replacement of the PhaseMagnitude module.Required Materials:• St<strong>and</strong>ard assortment of h<strong>and</strong> tools (See page 50)65


Procedure1. Ensure unit power has been turned off <strong>and</strong> the power cord disconnected.2. Remove system external panel.3. To remove Phase Magnitude module, disconnect wiring harness from Phase/Magnitudemodule by removing connector plug from socket.4. Disconnect RF cable from both sides of Phase/Magnitude module by removing BNCconnector plugs from connector sockets.5. Loosen screws securing Phase/Magnitude module to chassis of unit.6. To reinstall, reverse steps 2 through 5 above.Adjustment of the Phase/Magnitude ModuleThe following lists the steps required to adjust the Phase/Magnitude module.Hot Work Level 4Required Materials:• Multimeter• St<strong>and</strong>ard assortment of h<strong>and</strong> tools (See page 50)Procedure1. Remove system external panel.2. Using st<strong>and</strong>ard operational procedures <strong>and</strong> process parameters, run plasma systemthrough normal operational cycle.3. Observe forward power indication on RF Level meter. It should be possible to obtain anindication of the maximum level that the RF generator installed in the system is capableof achieving. The unit should be manually tuned for minimum reverse power asindicated on the RF Level meter. If it is not possible to manually tune the system for areverse power level of 5 watts or less, proceed no further. Contact <strong>March</strong> Instruments toarrange for factory reconfiguration of the tuning network.0000CommonDC VoltsDiagram 3.NOTE: THE RF GENERATORS USED WITH MARCH PLASMA SYSTEMS ARE EQUIPPEDWITH CUTBACK CIRCUITRY TO PREVENT DAMAGE IN CASE OF SEVERE IMPEDANCEMISMATCHES. AS THE MISMATCH BECOMES MORE SEVERE, FORWARD POWER ISCUT BACK TO PREVENT DANGEROUS CURRENT AND VOLTAGE LEVELS (WHICHCOULD CAUSE FAILURE OF THE POWER AMPLIFIER) FROM BUILDING UP.66


4. Turn off RF power.5. To adjust output from Phase/Mag module, disconnect wiring harness from Phase/Magmodule <strong>and</strong> connect test equipment as shown in Diagram 3. Take care to avoid physicalcontact. Set meter to 2 volt scale (or closest setting).6. Turn on RF power.7. Taking care not to come into contact with any RF transmission line, tuning networkcomponent, or chamber electrode, adjust the lower potentiometer on the Phase/Magmodule so that the Multimeter indicates zero volts (+/- 5 millivolts).8. Turn off RF power.9. Connect test equipment as shown in Diagram 4. Set meter to 2 volt scale (or closestsetting).0000CommonDC VoltsDiagram 4.10. Turn RF on.11. Adjust the upper potentiometer on the Phase/Mag module so that the Multimeterindicates as closely as possible to zero volts (+/- 5 millivolts).12. Turn off RF power.13. Repeat steps 6-11 above until both pins on the Phase/Mag module indicate as closelyas possible to zero volts (+/- 5 millivolts).14. Turn off unit power.15. Disconnect Multimeter <strong>and</strong> reattach wiring harness to Phase/Mag module.16. Test unit for proper operation as detailed in Fault Isolation, page 62, steps 1-8. If unitdoes not pass tests, recheck adjustment <strong>and</strong> repeat if necessary. Replace panels.67


Replacement of the ViewportRequired Materials:Cotton Swabs (or clean room equivalent)Isopropyl Alcohol7/64" Allen WrenchO-Ring (<strong>March</strong> P/N 004-7014)Glass View Port (<strong>March</strong> P/N 002-5006)Procedure:1.3. 1. Raise Etch Chamber lid to fully open position (for bestaccessibility).2. Remove 4 screws securing window frame to chamber.3. Remove window glass <strong>and</strong> old O-ring.4. Using swab <strong>and</strong> alcohol, thoroughly clean windowseating surfaces.5. Install new O-ring <strong>and</strong> window glass.4.2.6. Reinstall window frame <strong>and</strong> finger tighten the 4screws.7. Pump unit down to normal base pressure.8. If the Etcher will not pump down to previouslyachieved base pressure, tighten one (1) screw at a time with Allen Wrench 1/16th of aturn at a time, using a cross tightening sequence. Tighten screws only enough toachieve previously realized base pressure. Over tightening will cause damage to glass.Illustration of proper tightening sequenceCONSUMABLESThe only consumable is used in the system is the fluorinated pump oil (Krytox). This pump oilcan become corrosive after being exposed to certain process gases. Always wear approvedpersonal protection equipment (eye protection <strong>and</strong> rubber gloves) when changing the pumpoil. Waste oil <strong>and</strong> any dirty rags generated during maintenance should be consideredhazardous waste. It should never be dumped down the drain. Instead, place the oil in acorrosion proof waste container <strong>and</strong> dispose of the waste container at an EPA certified wastedisposal company when full. The Material Safety Data Sheet has been included in the backof the manual for reference.68


APPENDIXThe appendix is a collection of information on various elements of plasma processing withthe <strong>CS</strong>-<strong>1701</strong> equipment. Instructions on process development for etching applications,calculating actual gas flow, <strong>and</strong> use of Independent Pressure Control are included.PROCESS DEVELOPMENT-ETCHINGEtching processes can be controlled by the operator of the equipment to achieve desiredcharacteristics including:• Etch Rate.• Uniformity.• Anisotropy.• Selectivity.Other associated characteristics can be controlled as well, including:• Process Heat.• Ion Exposure.Control is achieved through selection of:• Process Gas Type.• Process Gas Flow.• RF Power Level.• Chamber Pressure.• Process Cycle Time.<strong>and</strong> to a lesser extent by varying the values selected for:• Process Threshold Pressure.• Independent Pressure Control.The matrix on the following page is intended as a general guideline to help the operatordevelop an etching process by manipulating the system variables. It must be noted that theplasma etching process is extremely complex <strong>and</strong> is not easily characterized. In offering thisinformation, <strong>March</strong> Instruments makes no claim as to it's applicability in tailoring operatorcontrollable parameters to achieve specific etch characteristics. It is offered only to illustratehow altering an operator controllable parameter might effect an etch characteristic.69


EtchingCharacteristics:GasChoiceGasFlowRFPowerProcessTimeProcessThresholdPressurePressureChamberLoadingIndependentPressureControlUniformity Increased Yes +/- +/- No Effect No Effect +/- - +/-Uniformity Decreased Yes +/- +/- No Effect No Effect +/- + +/-Etch Rate Increased Yes +/- + + No Effect +/- - +/-Etch Rate Decreased Yes +/- - - No Effect +/- + +/-Anisotropy Increased Yes +/- + - No Effect - Unknown -Anisotropy Decreased Yes +/- - + No Effect + Unknown +Selectivity Increased Yes +/- +/- No Effect + +/- Unknown UnknownSelectivity Decreased Yes +/- +/- No Effect - +/- Unknown UnknownHeat Build-up Increased Yes - + + No Effect - + +Heat Build-up Decreased Yes + - - No Effect + - -Ion Exposure Increased Yes +/- + + No Effect + Unknown +Ion Exposure Decreased Yes +/- - - No Effect - Unknown -Process Cycle Time Yes +/- - + - +/- + +IncreasedProcess Cycle Time Yes +/- + - + +/- - -Decreased(+) =Increase value of parameter for desired change (+ / - ) = Value of parameter can be increased or decreased for desired change( - )=Decrease value of parameter for desired change70


PUMP-DOWN SPEEDAdditional vacuum hose length, greater inner diameter, <strong>and</strong> increased number ofbends will all contribute to an increase in pump-down times. For best results,position the vacuum pump as close to the chamber as possible <strong>and</strong> use flexibletubing to minimize effect of sharp bends.CALCULATING GAS FLOWThe system is equipped with Mass Flow Controllers(MFCs) capable ofregulating <strong>and</strong> monitoring the flow of up to 500 SCCM of nitrogen. TheProcess Controller's right display indicates the percentage of the MFC'sorifice that is open for gases to pass through it. If gases other thanNitrogen are to be used, it is necessary to apply a conversion factor to thedisplayed value in order to calculate the actual flow of that gas. Someconversion factors for common gases are listed on page 74.Percentage Displayed on Microprocessor to Actual Gas Flow:To calculate the actual gas flow, use the following formula:GAS FLOW IN SCCM (st<strong>and</strong>ard cubic centimeters) =(Displayed Gas Percentage on Process Controller / 100%) (MFC Size insccm) (Gas Conversion Factor).For example:Process gas is CF 4 , MFC is set for 80% flowrate.DISPLAYED PERCENTAGE OF MFC OPENING = 80%MFC SIZE = 500 SCCMCONVERSION FACTOR (From chart) FOR CF4 = .410Therefore,CF 4 GAS FLOW= (80%/100%)(500 sccm)(.410)= 164 sccmConverting Gas Flow to Percentage Displayed on Microprocessor:If the desired gas flow is already known, the percentage setting on theProcess Controller can be determined by the following calculation:PERCENTAGE SETTING ON PROCESS CONTROLLER =(Desired Gas Flow in sccm / MFC Size) (100%) / (Gas Conversion Factor)As an example:Process gas is CF 4 , desired flow in SCCM is 168MFC SIZE = 500 sccmCONVERSION FACTOR (From chart) FOR CF 4 = .410Therefore, the required percentage on the Process Controller to achieve aCF 4 flow of 168 sccm is:(168 sccm / 500 sccm) (100%) / (.410) = 82 percent71


Calculating a Two Gas Flow RatioIf the desired ratio of flows <strong>and</strong> desired process pressure for a two gas processare known, the percentage settings for each gas can be calculated as in thefollowing example.REQUIRED INFORMATION:Mass Flow Controller (MFC) Sizes:Gas 1 100 sccm ArgonGas 2 250 sccm OxygenGas Conversion Factors:Oxygen .994Argon 1.440PROCEDURE:Desired Flow Ratio-Desired Pressure-90% O 2 / 10% Ar300 mT.To get 300 mT total gas pressure, try a 40% microprocessor setting on Gas 2(O 2 ) to begin with (It will take a little trial <strong>and</strong> error before you can determineexactly what percentages are needed to get 300 mT).The O 2 flow rate at a 40% setting on a 250 sccm MFC = (40%/100%) (O 2 MF<strong>CS</strong>ize) (O 2 Conversion Factor) = (40%/100%) (250 sccm) (.994) = 99.4 sccmSince in this case the 99.4 sccm flow rate of O 2 accounts for 90% of the total gasflow :(99.4 sccm) (100%/90%) = 110.4 sccm total gas flow (Ar <strong>and</strong> O 2 ).110.4 sccm - 99.4 sccm = 11 sccm Ar flow.To convert this to an MFC setting:(Ar Flow Rate / Ar MFC Size) (100%) / (Ar Conversion Factor) = (11 sccm / 100sccm) (100%) / 1.44= 7.6% Ar MFC setting. Rounded off, this is 8%.MFC SETTINGS FOR A 90% O 2 /10% AR MIXTURE ARE 40% O 2 AND 8% AR.If these settings do not yield a chamber pressure of around 300 mT, try againwith another O 2 MFC setting. The ratio of Ar/O 2 stays the same. In this case theratio is:7.6% Ar / 40% O 2 = .191For instance, at a 60% O 2 MFC setting, the Ar setting will be:(60%) x (.191) = 11.5% Ar72


GAS FLOW CONVERSION FACTORSGas Symbol Conversion FactorAmmonia NH 3 0.73Argon Ar 1.44Carbon Dioxide CO 2 0.745Carbon Tetrachloride CCl 4 0.309Freon 11 CCl 3 F 0.34Freon 12 CCl 2 F 2 0.34Freon 13 CClF 3 0.383Freon 13 B 1 CBrF 3 0.36Freon 14 CF 4 0.41Freon 21 CHCl 2 F 0.42Freon 22 CHClF 2 0.438Freon 23 CHF 3 0.50Freon 113 CCl 2 FCCIF 2 0.20Freon 114 C 2 ClF 4 0.22Freon 116 F 3 CCF 3 0.24Freon C318 C 4 F 8 0.17Freon 1132A C 2 H 2 F 2 0.43Helium He 1.444Hydrogen H 2 1.021Nitrogen N 2 1.000Nitrous Oxide N 2 O 0.713Oxygen O 2 0.994Sulfur Hexafluoride SF 6 0.27Note: For further information, see Figure A-4 in Porter Mass Flow Controller <strong>Manual</strong>.73


INDEPENDENT PRESSURE CONTROL<strong>March</strong> Instruments Plasma Systems are equipped with an IndependentPressure Control system (IPC). This feature provides enhanced processrepeatability by compensating for fluctuations in chamber pressure due tovacuum pump performance, chamber condition, etc. Use of this systemalso provides a method of controlling gas residence time within the reactorchamber in order to maximize process gas effect while minimizing it'susage <strong>and</strong> allows higher pressures to be achieved for a given size ofMass Flow Controller.The Block Diagram below illustrates how the components of the IPCsystem interact.How Independent Pressure Control Works1. In Automatic mode, the operator pushes the START button to initiatethe process. The vacuum valve opens connecting the reactor chamberto the vacuum pump <strong>and</strong> the pump evacuates the chamber.2. After brief delay, the microprocessor opens the Baratron isolation valve<strong>and</strong> the Baratron pressure gauge measures the chamber pressure.This data is sent to the microprocessor.3. When the chamber has pumped down to the preset base pressure themicroprocessor turns on the process gas flow solenoids <strong>and</strong> regulatesthe flow of process gas by sending control voltages to the Mass FlowControllers.74


4. When the programmed gas percentage has been attained, themicroprocessor checks to see if a pressure value other than 0 (zero)has been entered into the Process Controller.5. If a value of 0 has been entered, the microprocessor determines thatIPC is not desired <strong>and</strong> continues with the normal process sequence.6. If a value other than 0 has been entered the microprocessor comparesthe actual chamber pressure as measured by the pressure gauge tothe operator set pressure value.7. The computer turns on the IPC Gas Shutoff Solenoid Valve allowingnitrogen to flow through the IPC Mass Flow Controller.8. This nitrogen is then fed into the exhaust stream of the plasma systemat the exhaust port. Instead of pumping from only one gas source, thevacuum pump is now pumping from two gas sources: The processgases from the reactor chamber <strong>and</strong> the nitrogen from the IPC supply.9. Since the pumping capacity of the vacuum pump is fixed, the rate atwhich gas is removed from the reactor chamber slows, driving up thechamber pressure without altering the flow rate of process gases.10. The computer continually monitors the reactor chamber pressure <strong>and</strong>adjusts the flow rate of the IPC Mass Flow Controller to maintain thechamber pressure value set by the operatorSince the system functions by determining chamber pressure at a givenprocess gas flow <strong>and</strong> then adds additional gas flow into the exhauststream to raise the reactor chamber pressure it is obvious that IPC cannotbe used to lower chamber pressure. Moreover, the amount by which thereactor chamber pressure can be raised is limited by the maximum flow ofnitrogen possible through the IPC Mass Flow Controller.To determine the limits of effectiveness of IPC, <strong>March</strong> suggests that thefollowing characterization process be undertaken.Using the worksheet provided:1. Observe the chamber pressure achieved when the process gas to becharacterized is introduced into the reactor chamber at a setpercentage of 1% of maximum flow without IPC. Record this chamberpressure value in the 1% row of column B of the worksheet.75


2. Observe the chamber pressure achieved when the same process gasis introduced into the reactor chamber at a set percentage of 5% ofmaximum flow without IPC. Record this chamber pressure value in the5% row of column B of the worksheet.3. Observe <strong>and</strong> record in the appropriate rows of column B of theworksheet the pressure values achieved when the percentage of thesame gas is increased in 5% increments up to 100% of maximum flow.4. Column B data can now be used to determine the minimum pressureat which the use of IPC is effective for a given gas setting. This is doneby adding 50 millitorr to the chamber pressure value for each gassetting <strong>and</strong> recording that value in column C of the worksheet. Fiftymillitorr above the non-IPC pressure value implies a fifty millitorr gasdisplacement with nitrogen from the IPC Mass Flow Controller. Thesystem design requires that at least this much nitrogen must be flowingin order to get effective compensation for process fluctuation.5. Next, select any column A percentage of flow <strong>and</strong> set the process gasMFC or MFCs to that percentage of flow. Set IPC to a valueapproximately 600 millitorr above the chamber pressure value thatresults from that percentage of flow (recorded in column B). While itwill not be possible to reach this high chamber pressure value due tothe maximum flow limit of the IPC nitrogen supply, the system, in tryingto achieve this high value, will drive itself to maximum, revealing thesystem's upper limitation.For example, if the column A value of 55% of Process Gas O 2 yields achamber pressure of 500 millitorr, as recorded in column B, set theIPC to a value of 1100 millitorr. Note the actual chamber pressuredisplayed <strong>and</strong> subtract from it the column B value. Record thedifference in the worksheet box labeled "Maximum Differential". Thisvalue is the maximum pressure increase possible for this gas throughthe use of IPC. This value is constant for a given gas throughout therange of Process Controller gas settings.To complete the example, if the 55% flow rate of O 2 yields a chamberpressure of 500 millitorr, <strong>and</strong> the IPC is set to a value of 1100 millitorrbut chamber pressure only rises to 850 millitorr, then the maximumeffect of IPC is 350 millitorr(850 mT- 500 mT) for O 2 .6. Subtract 50 millitorr from the Maximum differential value to ensure thatIPC is not operating at the far limit of it's capabilities (thus losingresolution <strong>and</strong> reliability) <strong>and</strong> record this value in the worksheet boxlabeled "IPC Upper Limit". Add the IPC Upper Limit value to thecolumn B values <strong>and</strong> record the sums in column D.76


As long as Independent Pressure Control settings are limited to apressure range between column C <strong>and</strong> column D values for a given gassetting, the system will function properly. Operating outside this range willcause system faults as indicated by a blinking PRESS LED.Independent Pressure Control(IPC) Characterization WorksheetColumn A Column B Column C Column DProcess Gas orGas Mixture:Maximum PressureDifferential:mTorrIPC Upper Limit(Maximum Differentialminus 50 millitorr):mTorrPERCENTAGE NORMAL CHAMBEROF MAXIMUM PRESSURE(No MINIMUM IPCGAS FLOW: IPC):PRESSURE:1%5%10%15%20%25%30%35%40%45%50%55%60%65%70%75%80%85%90%95%100%Please photocopy freely for characterizing different gases.MAXIMUM IPCPRESSURE:77


AVOIDING PROCESS GAS LINE CONTAMINATIONProcess gas lines can be contaminated with air whenever the connectionbetween the gas source <strong>and</strong> the plasma system is broken. This occurs whenchanging gas cylinders or mass flow controllers, or when swapping gas lines.Air contamination in gas lines displaces the desired process gas. This can resultin inconsistent processing results <strong>and</strong>/or poorly treated product. This becomesespecially critical in the smaller systems due to the small size of the mass flowcontrollers (the low flow rates prevent air contamination from being flushed out ofthe lines quickly).PREVENTIVE MEASURESIn order to avoid contaminating the lines, <strong>March</strong> recommends the followingpreventive steps.Swapping Gas Lines:When gas lines are swapped, no contamination will result as long as the gasconnectors are “quick connect”. If quick connects are not used, a needle valveshould be in place which can be closed to isolate the gas line.Changing Mass Flow Controllers:When changing mass flow controllers, always be sure to first isolate the gas lineby removing the “quick connect” or closing the needle valve.Changing Gas Cylinders:1) Close valve on gas cylinder.2) Close valve on gas regulator to isolate gas line.3) Disconnect gas cylinder from gas regulator.4) Connect new cylinder to regulator.5) Open valve on gas cylinder.6) Open valve on gas regulator.Gas Line Integrity:Take care to insure that gas lines do not leak. Gas line integrity can be confirmedsimply by opening the valve on the gas cylinder then quickly closing it again. Ifthe pressure reading on the regulator drops within one minute, there is asubstantial leak that may result in air contamination.Gas leaks can be located using a soap/water solution (commercially availableunder the br<strong>and</strong> name Snoop). With the gas cylinder <strong>and</strong> regulator valves open,inundate areas of suspected leakage. Soap bubble formation indicates a gasleak.78


GLOSSARYThe following lists definitions of commonly used terms in this manual.Anisotropic: Etching that is directional in it's action with characteristicetch path side walls that are perpendicular to the electrode plates of theetcher. Characteristic of etching applications where the preservation ofunderlying material is desirable. Typical of reactive ion etchers(<strong>RIE</strong>).Layer 1Etch PathLayer 2Straight Sidewall,Characteristic ofAnisotropic EtchingAutotuning System: The system that automatically tunes the RFmatching network for minimal reflected power so that there is optimalpower transfer to the chamber.Base Pressure: The preset pressure, programmed by the operator, atwhich the plasma process begins. The lower the Base Pressure level, theless impurities will be present in the chamber when the process gas isintroduced. By evacuating the chamber for a longer or shorter period oftime at the commencement of the process cycle, more or less of the roomair <strong>and</strong> water vapor present in the chamber will be pumped out before theprocess gas is introduced. Also referred to as Process ThresholdPressure.Clippard Valve:A br<strong>and</strong> of solenoid valve used in the <strong>CS</strong>-<strong>1701</strong> system.Endpoint: The point at which a material has received satisfactorytreatment. Most commonly used in reference to cleaning processes.Etch Rate: The rate at which material is removed during exposure toplasma. Often given as a value of Angstroms per minute.Forward Power: The amount of RF energy applied to the plasma. Thisvalue is measured in watts.79


Impedance Matching: The matching of the fixed output impedance of theRF Power Generator to the constantly varying input impedancecharacteristic of a plasma. This is done to attain maximum transfer ofavailable RF energy to the plasma <strong>and</strong> keep the plasma uniform.Isotropic: Etching that is not directional in it's effect. Characteristic ofmost cleaning applications where it is desirable to remove material from allsurfaces. Typical of barrel type etchers.Layer 1Etch PathLayer 2Sloped Sidewall,Characteristic ofIsotropic EtchingLeak-back Rate: The rise in pressure versus time for an evacuatedchamber that has been isolated from the vacuum source. A measurementof the magnitude of a vacuum leak. While a certain amount of leakage isto be expected, excessive leakage is detrimental to the performance of theequipment.Matching Network: The module in the plasma system that accomplishesthe matching of the fixed output impedance of the RF Power Generator tothe constantly varying input impedance characteristic of a plasma.Optical Endpoint Detection: The monitoring of light emitted by theplasma to determine the progress of the plasma etching or cleaning cycle.As treatment commences, UV(Ultraviolet) light of a wave length specific tothe material being removed is given off. As the process continues theamount of material being etched away decreases, as does the emittedlight. As the etching process nears completion, there is less material toetch so the light being emitted decreases. Optical Endpoint Detectionmonitors this phenomenon via a UV detection device <strong>and</strong> circuitry in theProcess Controller determines the difference between peak light emission<strong>and</strong> emission at any point in the process; the user can select a percentageof peak light emission as a stop point for processing. When thispercentage is reached the machine will undergo a normal end of processsequence.Parameter: A variable in the plasma process that can be changed by theoperator. A value chosen for the specific parameter is passed to acontrolling device in the plasma system which will then regulate the plasmageneration process accordingly. For example, RF Power Level is aparameter; a typical value assigned to this parameter would be 300 watts.80


Plasma: A highly energetic state of matter produced when a gas isintroduced into a chamber at low pressure <strong>and</strong> is excited by the applicationof RF energy. This results in a disassociation of the gas molecules intoions, free radicals <strong>and</strong> other reactive species which interact physically <strong>and</strong>chemically with exposed surfaces of materials.Process: The plasma cycle. The complete sequence of steps a materialis subjected to in order to attain desired results. A process can consist ofsingle or multiple programs.Process Recipe: A sequential listing of the total set of conditions whichmake up a process. This includes gas type <strong>and</strong> process parameters aswell as sample loading <strong>and</strong> positioning in the treatment chamber.Process Threshold Pressure: The preset pressure, programmed by theoperator, at which the plasma process begins. The lower the ProcessThreshold Pressure level, the less impurities will be present in the chamberwhen the process gas is introduced. By evacuating the chamber for alonger or shorter period of time at the commencement of the processcycle, more or less of the room air <strong>and</strong> water vapor present in the chamberwill be pumped out before the process gas is introduced. Also referred toas Base Pressure.Program: A listing of all parameters which are entered into the processcontrollers memory by the operator. A program can be a complete processin the case of a one step process, or a single step in a process thatrequires multiple steps. Nine programs can be retained in memory. Abasic program would include values for Gas Flow, Chamber BasePressure, Process Time, <strong>and</strong> RF Power Level.Pump-down Speed: The amount of time required to reach Base(Threshold) Pressure once the chamber is placed under vacuum.Radio Frequency(RF): The frequency range of the power generatorsused to create the plasma in the <strong>CS</strong>-<strong>1701</strong> equipment. The specificfrequency is 13.56 MHz.Reactive Ion Etching(<strong>RIE</strong>): A high energy plasma process used toremove relatively large quantities of material. Due to high energy ionbombardment, material to be etched can be removed quickly.Read point: Actual value of a parameter at any given time as monitoredby that parameter control device's sensors <strong>and</strong> reported for the operator'sinformation on the appropriate panel display.81


Reflected Power: The amount of RF energy that is not successfullytransferred to the plasma, due to an impedance mismatch. May also bereferred to as Reverse Power.Reverse Power: The amount of RF energy that is not successfullytransferred to the plasma, due to an impedance mismatch. May also bereferred to as Reflected Power.Selectivity: Different materials have different etch rates when exposed tothe same plasma. This phenomenon can be manipulated to control,through process parameter selection, the etch rate of separatecomponents of a multiple substance device to preserve one material whileremoving another.Set point: The value of a parameter programmed into the Processcontroller. Once set, the Process Controller will keep the parameter at theset point throughout the course of the process.Threshold Pressure: The preset pressure, programmed by the operator,at which the plasma process begins. The lower the Process ThresholdPressure level, the less impurities will be present in the chamber when theprocess gas is introduced. By evacuating the chamber for a longer orshorter period of time at the commencement of the process cycle, more orless of the room air <strong>and</strong> water vapor present in the chamber will bepumped out before the process gas is introduced. Also referred to asBase Pressure.Tuning: The process of controlling the settings of the tuning networkcomponents to effect best possible impedance matching. This can beperformed through manual input from the operator or through an automaticfunction, whereby forward <strong>and</strong> reverse power levels are compared <strong>and</strong> afeedback is generated from that comparison which is used to set the valueof the variable components.Tuning network: A coupling network by which impedance matching iscontrolled <strong>and</strong> optimized by varying the phase <strong>and</strong> amplitude of the RFwaves entering the treatment chamber. Alteration of these valuescompensates for any mismatch in impedance as the network couples theRF power to the chamber.Ultimate Pressure: The highest chamber vacuum level achievable, asindicated by observation of the chamber pressure after it has beensubjected to vacuum for a period of time sufficient for the pressure readingto completely stabilize. The capacity of the vacuum pump, the dimensionsof the connecting line between the vacuum pump <strong>and</strong> the chamber, <strong>and</strong>the overall vacuum integrity of these components all affect the achievableUltimate Pressure.82


Ultraviolet (UV) Light: The region of the electromagnetic spectrum justbeyond the visible wavelengths. The plasma environment is rich in UVlight <strong>and</strong> this is one of the elements contributing to the surfacemodifications created by plasma.Uniformity: The degree to which the plasma process gives a uniformtreatment across a materials surface. Also can refer to the degree plasmauniformity inside the chamber.83


NOTES84


SCHEMATI<strong>CS</strong>The following pages are the schematics for components of the <strong>CS</strong>-<strong>1701</strong> system.Included are schematics for the Tuning Controller PCB, Phase/Mag. PCB,Interface PCB, Display PCB, <strong>and</strong> the overall system.86


RELEASEDDOCUMENTATION

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!